From: Joel Holdsworth Date: Sat, 18 Jan 2014 21:09:38 +0000 (+0000) Subject: Added AnalogSignal::analog_data accessor function X-Git-Tag: pulseview-0.2.0~133 X-Git-Url: https://sigrok.org/gitweb/?p=pulseview.git;a=commitdiff_plain;h=3009b5b376efa81f56363a117df5797600301572 Added AnalogSignal::analog_data accessor function --- diff --git a/pv/view/analogsignal.cpp b/pv/view/analogsignal.cpp index eb828a1b..9cc3547d 100644 --- a/pv/view/analogsignal.cpp +++ b/pv/view/analogsignal.cpp @@ -57,7 +57,12 @@ AnalogSignal::~AnalogSignal() { } -boost::shared_ptr AnalogSignal::data() const +shared_ptr AnalogSignal::data() const +{ + return _data; +} + +shared_ptr AnalogSignal::analog_data() const { return _data; } diff --git a/pv/view/analogsignal.h b/pv/view/analogsignal.h index 279ed108..4b7b3bc3 100644 --- a/pv/view/analogsignal.h +++ b/pv/view/analogsignal.h @@ -49,6 +49,8 @@ public: boost::shared_ptr data() const; + boost::shared_ptr analog_data() const; + void set_scale(float scale); /**