]> sigrok.org Git - pulseview.git/commitdiff
Remove SignalBase::A2LChannel
authorSoeren Apel <redacted>
Tue, 1 Aug 2017 19:38:15 +0000 (21:38 +0200)
committerUwe Hermann <redacted>
Thu, 3 Aug 2017 11:06:27 +0000 (13:06 +0200)
pv/data/signalbase.hpp
pv/session.cpp

index 1675ed0abefea1c9c94ec151e4f3705d339646e4..fd7c38f5ea627d768830170db4768d46ad81fd69 100644 (file)
@@ -63,7 +63,6 @@ public:
                AnalogChannel = 1, ///< Analog data
                LogicChannel,  ///< Logic data
                DecodeChannel, ///< Protocol Decoder channel using libsigrokdecode
                AnalogChannel = 1, ///< Analog data
                LogicChannel,  ///< Logic data
                DecodeChannel, ///< Protocol Decoder channel using libsigrokdecode
-               A2LChannel,    ///< Analog converted to logic, joint representation
                MathChannel    ///< Virtual channel generated by math operations
        };
 
                MathChannel    ///< Virtual channel generated by math operations
        };
 
index e258f6db8e189fb410bcd696d123f31fb295f40e..4dcc2e8b7b77f5da1cfce26781e694d16f23eb7f 100644 (file)
@@ -622,8 +622,6 @@ void Session::register_view(shared_ptr<views::ViewBase> view)
                                switch (signalbase->type()) {
                                case data::SignalBase::AnalogChannel:
                                case data::SignalBase::LogicChannel:
                                switch (signalbase->type()) {
                                case data::SignalBase::AnalogChannel:
                                case data::SignalBase::LogicChannel:
-                               case data::SignalBase::A2LChannel:
-                                       break;
                                case data::SignalBase::DecodeChannel:
 #ifdef ENABLE_DECODE
                                        trace_view->add_decode_signal(
                                case data::SignalBase::DecodeChannel:
 #ifdef ENABLE_DECODE
                                        trace_view->add_decode_signal(