X-Git-Url: https://sigrok.org/gitweb/?p=pulseview.git;a=blobdiff_plain;f=pv%2Fsession.cpp;h=22e0428d94abf26a5421c540377dc9e55c6ddccf;hp=27154d13cd238c8713e4aab4f5415fa773cbd123;hb=e7216ae0a66fe1563514cbd3f67f2e240d010315;hpb=da30ecb7e72bd2547e524258efa5ec642988b70b diff --git a/pv/session.cpp b/pv/session.cpp index 27154d13..22e0428d 100644 --- a/pv/session.cpp +++ b/pv/session.cpp @@ -60,6 +60,7 @@ using std::lock_guard; using std::list; using std::map; using std::mutex; +using std::recursive_mutex; using std::set; using std::shared_ptr; using std::string; @@ -89,7 +90,6 @@ Session::Session(DeviceManager &device_manager) : capture_state_(Stopped), cur_samplerate_(0) { - set_default_device(); } Session::~Session() @@ -133,8 +133,7 @@ void Session::set_device(shared_ptr device) (shared_ptr device, shared_ptr packet) { data_feed_in(device, packet); }); - device_manager_.update_display_name(device_); - update_signals(device_); + update_signals(); decode_traces_.clear(); @@ -169,17 +168,21 @@ void Session::start_capture(function error_handler) // Check that at least one channel is enabled assert(device_); - const std::shared_ptr device = device_->device(); - assert(device); - auto channels = device->channels(); - bool enabled = std::any_of(channels.begin(), channels.end(), - [](shared_ptr channel) { return channel->enabled(); }); - - if (!enabled) { - error_handler(tr("No channels enabled.")); - return; + const shared_ptr sr_dev = device_->device(); + if (sr_dev) { + const auto channels = sr_dev->channels(); + if (!std::any_of(channels.begin(), channels.end(), + [](shared_ptr channel) { + return channel->enabled(); })) { + error_handler(tr("No channels enabled.")); + return; + } } + // Clear signal data + for (const shared_ptr d : get_data()) + d->clear(); + // Begin the session sampling_thread_ = std::thread( &Session::sample_thread_proc, this, device_, @@ -234,9 +237,9 @@ bool Session::add_decoder(srd_decoder *const dec) // Make a list of all the channels std::vector all_channels; - for(const GSList *i = dec->channels; i; i = i->next) + for (const GSList *i = dec->channels; i; i = i->next) all_channels.push_back((const srd_channel*)i->data); - for(const GSList *i = dec->opt_channels; i; i = i->next) + for (const GSList *i = dec->opt_channels; i; i = i->next) all_channels.push_back((const srd_channel*)i->data); // Auto select the initial channels @@ -298,17 +301,25 @@ void Session::set_capture_state(capture_state state) lock_guard lock(sampling_mutex_); const bool changed = capture_state_ != state; capture_state_ = state; - if(changed) + if (changed) capture_state_changed(state); } -void Session::update_signals(shared_ptr device) +void Session::update_signals() { - assert(device); - assert(capture_state_ == Stopped); + assert(device_); + + lock_guard lock(data_mutex_); + + const shared_ptr sr_dev = device_->device(); + if (!sr_dev) { + signals_.clear(); + logic_data_.reset(); + return; + } // Detect what data types we will receive - auto channels = device->device()->channels(); + auto channels = sr_dev->channels(); unsigned int logic_channel_count = std::count_if( channels.begin(), channels.end(), [] (shared_ptr channel) { @@ -316,7 +327,7 @@ void Session::update_signals(shared_ptr device) // Create data containers for the logic data segments { - lock_guard data_lock(data_mutex_); + lock_guard data_lock(data_mutex_); if (logic_channel_count == 0) { logic_data_.reset(); @@ -335,7 +346,7 @@ void Session::update_signals(shared_ptr device) unordered_set< shared_ptr > prev_sigs(signals_); signals_.clear(); - for (auto channel : device->device()->channels()) { + for (auto channel : sr_dev->channels()) { shared_ptr signal; // Find the channel in the old signals @@ -358,7 +369,7 @@ void Session::update_signals(shared_ptr device) case SR_CHANNEL_LOGIC: signal = shared_ptr( new view::LogicSignal(*this, - device, channel, + device_, channel, logic_data_)); break; @@ -398,29 +409,20 @@ shared_ptr Session::signal_from_channel( return shared_ptr(); } -void Session::read_sample_rate(shared_ptr device) -{ - assert(device); - const auto keys = device->config_keys(ConfigKey::DEVICE_OPTIONS); - const auto iter = keys.find(ConfigKey::SAMPLERATE); - cur_samplerate_ = (iter != keys.end() && - (*iter).second.find(sigrok::GET) != (*iter).second.end()) ? - VariantBase::cast_dynamic>( - device->config_get(ConfigKey::SAMPLERATE)).get() : 0; -} - void Session::sample_thread_proc(shared_ptr device, function error_handler) { assert(device); assert(error_handler); - const std::shared_ptr sr_dev = device->device(); - assert(sr_dev); - read_sample_rate(sr_dev); + (void)device; + + cur_samplerate_ = device_->read_config(ConfigKey::SAMPLERATE); + + out_of_memory_ = false; try { - device_->session()->start(); + device_->start(); } catch(Error e) { error_handler(e.what()); return; @@ -438,11 +440,14 @@ void Session::sample_thread_proc(shared_ptr device, qDebug("SR_DF_END was not received."); assert(0); } + + if (out_of_memory_) + error_handler(tr("Out of memory, acquisition stopped.")); } void Session::feed_in_header() { - read_sample_rate(device_->device()); + cur_samplerate_ = device_->read_config(ConfigKey::SAMPLERATE); } void Session::feed_in_meta(shared_ptr meta) @@ -469,12 +474,16 @@ void Session::feed_in_frame_begin() void Session::feed_in_logic(shared_ptr logic) { - lock_guard lock(data_mutex_); + lock_guard lock(data_mutex_); + + const size_t sample_count = logic->data_length() / logic->unit_size(); if (!logic_data_) { - qDebug() << "Unexpected logic packet"; - return; + // The only reason logic_data_ would not have been created is + // if it was not possible to determine the signals when the + // device was created. + update_signals(); } if (!cur_logic_segment_) @@ -482,24 +491,10 @@ void Session::feed_in_logic(shared_ptr logic) // This could be the first packet after a trigger set_capture_state(Running); - // Get sample limit. - assert(device_); - const std::shared_ptr device = - device_->device(); - assert(device); - const auto keys = device->config_keys( - ConfigKey::DEVICE_OPTIONS); - const auto iter = keys.find(ConfigKey::LIMIT_SAMPLES); - const uint64_t sample_limit = (iter != keys.end() && - (*iter).second.find(sigrok::GET) != - (*iter).second.end()) ? - VariantBase::cast_dynamic>( - device->config_get(ConfigKey::LIMIT_SAMPLES)).get() : 0; - // Create a new data segment cur_logic_segment_ = shared_ptr( new data::LogicSegment( - logic, cur_samplerate_, sample_limit)); + logic, cur_samplerate_, sample_count)); logic_data_->push_segment(cur_logic_segment_); // @todo Putting this here means that only listeners querying @@ -519,7 +514,7 @@ void Session::feed_in_logic(shared_ptr logic) void Session::feed_in_analog(shared_ptr analog) { - lock_guard lock(data_mutex_); + lock_guard lock(data_mutex_); const vector> channels = analog->channels(); const unsigned int channel_count = channels.size(); @@ -543,26 +538,13 @@ void Session::feed_in_analog(shared_ptr analog) // in the sweep containing this segment. sweep_beginning = true; - // Get sample limit. - uint64_t sample_limit; - try { - assert(device_); - const std::shared_ptr device = - device_->device(); - assert(device); - sample_limit = VariantBase::cast_dynamic>( - device->config_get(ConfigKey::LIMIT_SAMPLES)).get(); - } catch (Error) { - sample_limit = 0; - } - // Create a segment, keep it in the maps of channels segment = shared_ptr( new data::AnalogSegment( - cur_samplerate_, sample_limit)); + cur_samplerate_, sample_count)); cur_analog_segments_[channel] = segment; - // Find the annalog data associated with the channel + // Find the analog data associated with the channel shared_ptr sig = dynamic_pointer_cast( signal_from_channel(channel)); @@ -613,17 +595,27 @@ void Session::data_feed_in(shared_ptr device, break; case SR_DF_LOGIC: - feed_in_logic(dynamic_pointer_cast(packet->payload())); + try { + feed_in_logic(dynamic_pointer_cast(packet->payload())); + } catch (std::bad_alloc) { + out_of_memory_ = true; + device_->stop(); + } break; case SR_DF_ANALOG: - feed_in_analog(dynamic_pointer_cast(packet->payload())); + try { + feed_in_analog(dynamic_pointer_cast(packet->payload())); + } catch (std::bad_alloc) { + out_of_memory_ = true; + device_->stop(); + } break; case SR_DF_END: { { - lock_guard lock(data_mutex_); + lock_guard lock(data_mutex_); cur_logic_segment_.reset(); cur_analog_segments_.clear(); }