]> sigrok.org Git - libsigrokdecode.git/blobdiff - configure.ac
configure.ac/Makefile.am: Alphabetical order.
[libsigrokdecode.git] / configure.ac
index 7707dc4994200f42de7442f6887ff5231b16ed54..ceeb7477c2d8ab38cbae18e81f63fc814ea01f65 100644 (file)
@@ -160,10 +160,13 @@ AC_CONFIG_FILES([Makefile
                 decoders/jtag_stm32/Makefile
                 decoders/lm75/Makefile
                 decoders/lpc/Makefile
                 decoders/jtag_stm32/Makefile
                 decoders/lm75/Makefile
                 decoders/lpc/Makefile
+                decoders/maxim_ds28ea00/Makefile
                 decoders/mlx90614/Makefile
                 decoders/mx25lxx05d/Makefile
                 decoders/mxc6225xu/Makefile
                 decoders/nunchuk/Makefile
                 decoders/mlx90614/Makefile
                 decoders/mx25lxx05d/Makefile
                 decoders/mxc6225xu/Makefile
                 decoders/nunchuk/Makefile
+                decoders/onewire_link/Makefile
+                decoders/onewire_network/Makefile
                 decoders/pan1321/Makefile
                 decoders/rtc8564/Makefile
                 decoders/sdcard_spi/Makefile
                 decoders/pan1321/Makefile
                 decoders/rtc8564/Makefile
                 decoders/sdcard_spi/Makefile
@@ -172,11 +175,8 @@ AC_CONFIG_FILES([Makefile
                 decoders/transitioncounter/Makefile
                 decoders/uart/Makefile
                 decoders/uart_dump/Makefile
                 decoders/transitioncounter/Makefile
                 decoders/uart/Makefile
                 decoders/uart_dump/Makefile
-                decoders/usb_signalling/Makefile
                 decoders/usb_protocol/Makefile
                 decoders/usb_protocol/Makefile
-                decoders/onewire_link/Makefile
-                decoders/onewire_network/Makefile
-                decoders/maxim_ds28ea00/Makefile
+                decoders/usb_signalling/Makefile
                ])
 
 AC_OUTPUT
                ])
 
 AC_OUTPUT