]> sigrok.org Git - libsigrokdecode.git/blob - decoders/i2c.py
srd: nunchuk.py: Convert to new decoder API.
[libsigrokdecode.git] / decoders / i2c.py
1 ##
2 ## This file is part of the sigrok project.
3 ##
4 ## Copyright (C) 2010-2011 Uwe Hermann <uwe@hermann-uwe.de>
5 ##
6 ## This program is free software; you can redistribute it and/or modify
7 ## it under the terms of the GNU General Public License as published by
8 ## the Free Software Foundation; either version 2 of the License, or
9 ## (at your option) any later version.
10 ##
11 ## This program is distributed in the hope that it will be useful,
12 ## but WITHOUT ANY WARRANTY; without even the implied warranty of
13 ## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14 ## GNU General Public License for more details.
15 ##
16 ## You should have received a copy of the GNU General Public License
17 ## along with this program; if not, write to the Free Software
18 ## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA  02110-1301 USA
19 ##
20
21 #
22 # I2C protocol decoder
23 #
24
25 #
26 # The Inter-Integrated Circuit (I2C) bus is a bidirectional, multi-master
27 # bus using two signals (SCL = serial clock line, SDA = serial data line).
28 #
29 # There can be many devices on the same bus. Each device can potentially be
30 # master or slave (and that can change during runtime). Both slave and master
31 # can potentially play the transmitter or receiver role (this can also
32 # change at runtime).
33 #
34 # Possible maximum data rates:
35 #  - Standard mode: 100 kbit/s
36 #  - Fast mode: 400 kbit/s
37 #  - Fast-mode Plus: 1 Mbit/s
38 #  - High-speed mode: 3.4 Mbit/s
39 #
40 # START condition (S): SDA = falling, SCL = high
41 # Repeated START condition (Sr): same as S
42 # Data bit sampling: SCL = rising
43 # STOP condition (P): SDA = rising, SCL = high
44 #
45 # All data bytes on SDA are exactly 8 bits long (transmitted MSB-first).
46 # Each byte has to be followed by a 9th ACK/NACK bit. If that bit is low,
47 # that indicates an ACK, if it's high that indicates a NACK.
48 #
49 # After the first START condition, a master sends the device address of the
50 # slave it wants to talk to. Slave addresses are 7 bits long (MSB-first).
51 # After those 7 bits, a data direction bit is sent. If the bit is low that
52 # indicates a WRITE operation, if it's high that indicates a READ operation.
53 #
54 # Later an optional 10bit slave addressing scheme was added.
55 #
56 # Documentation:
57 # http://www.nxp.com/acrobat/literature/9398/39340011.pdf (v2.1 spec)
58 # http://www.nxp.com/acrobat/usermanuals/UM10204_3.pdf (v3 spec)
59 # http://en.wikipedia.org/wiki/I2C
60 #
61
62 # TODO: Look into arbitration, collision detection, clock synchronisation, etc.
63 # TODO: Handle clock stretching.
64 # TODO: Handle combined messages / repeated START.
65 # TODO: Implement support for 7bit and 10bit slave addresses.
66 # TODO: Implement support for inverting SDA/SCL levels (0->1 and 1->0).
67 # TODO: Implement support for detecting various bus errors.
68
69 #
70 # I2C output format:
71 #
72 # The output consists of a (Python) list of I2C "packets", each of which
73 # has an (implicit) index number (its index in the list).
74 # Each packet consists of a Python dict with certain key/value pairs.
75 #
76 # TODO: Make this a list later instead of a dict?
77 #
78 # 'type': (string)
79 #   - 'S' (START condition)
80 #   - 'Sr' (Repeated START)
81 #   - 'AR' (Address, read)
82 #   - 'AW' (Address, write)
83 #   - 'DR' (Data, read)
84 #   - 'DW' (Data, write)
85 #   - 'P' (STOP condition)
86 # 'range': (tuple of 2 integers, the min/max samplenumber of this range)
87 #   - (min, max)
88 #   - min/max can also be identical.
89 # 'data': (actual data as integer ???) TODO: This can be very variable...
90 # 'ann': (string; additional annotations / comments)
91 #
92 # Example output:
93 # [{'type': 'S',  'range': (150, 160), 'data': None, 'ann': 'Foobar'},
94 #  {'type': 'AW', 'range': (200, 300), 'data': 0x50, 'ann': 'Slave 4'},
95 #  {'type': 'DW', 'range': (310, 370), 'data': 0x00, 'ann': 'Init cmd'},
96 #  {'type': 'AR', 'range': (500, 560), 'data': 0x50, 'ann': 'Get stat'},
97 #  {'type': 'DR', 'range': (580, 640), 'data': 0xfe, 'ann': 'OK'},
98 #  {'type': 'P',  'range': (650, 660), 'data': None, 'ann': None}]
99 #
100 # Possible other events:
101 #   - Error event in case protocol looks broken:
102 #     [{'type': 'ERROR', 'range': (min, max),
103 #      'data': TODO, 'ann': 'This is not a Microchip 24XX64 EEPROM'},
104 #     [{'type': 'ERROR', 'range': (min, max),
105 #      'data': TODO, 'ann': 'TODO'},
106 #   - TODO: Make list of possible errors accessible as metadata?
107 #
108 # TODO: I2C address of slaves.
109 # TODO: Handle multiple different I2C devices on same bus
110 #       -> we need to decode multiple protocols at the same time.
111 # TODO: range: Always contiguous? Splitted ranges? Multiple per event?
112 #
113
114 #
115 # I2C input format:
116 #
117 # signals:
118 # [[id, channel, description], ...] # TODO
119 #
120 # Example:
121 # {'id': 'SCL', 'ch': 5, 'desc': 'Serial clock line'}
122 # {'id': 'SDA', 'ch': 7, 'desc': 'Serial data line'}
123 # ...
124 #
125 # {'inbuf': [...],
126 #  'signals': [{'SCL': }]}
127 #
128
129 class Sample():
130     def __init__(self, data):
131         self.data = data
132     def probe(self, probe):
133         s = ord(self.data[probe / 8]) & (1 << (probe % 8))
134         return True if s else False
135
136 def sampleiter(data, unitsize):
137     for i in range(0, len(data), unitsize):
138         yield(Sample(data[i:i+unitsize]))
139
140 class Decoder():
141     name = 'I2C'
142     longname = 'Inter-Integrated Circuit (I2C) bus'
143     desc = 'I2C is a two-wire, multi-master, serial bus.'
144     longdesc = '...'
145     author = 'Uwe Hermann'
146     email = 'uwe@hermann-uwe.de'
147     license = 'gplv2+'
148     inputs = ['logic']
149     outputs = ['i2c']
150     probes = {
151         'scl': {'ch': 0, 'name': 'SCL', 'desc': 'Serial clock line'},
152         'sda': {'ch': 1, 'name': 'SDA', 'desc': 'Serial data line'},
153     }
154     options = {
155         'address-space': ['Address space (in bits)', 7],
156     }
157
158     def __init__(self, **kwargs):
159         self.probes = Decoder.probes.copy()
160
161         # TODO: Don't hardcode the number of channels.
162         self.channels = 8
163
164         self.samplenum = 0
165         self.bitcount = 0
166         self.databyte = 0
167         self.wr = -1
168         self.startsample = -1
169         self.is_repeat_start = 0
170
171         self.FIND_START, self.FIND_ADDRESS, self.FIND_DATA = range(3)
172         self.state = self.FIND_START
173
174         # Get the channel/probe number of the SCL/SDA signals.
175         self.scl_bit = self.probes['scl']['ch']
176         self.sda_bit = self.probes['sda']['ch']
177
178         self.oldscl = None
179         self.oldsda = None
180
181     def start(self, metadata):
182         self.unitsize = metadata["unitsize"]
183
184     def report(self):
185         pass
186
187     def is_start_condition(self, scl, sda):
188         """START condition (S): SDA = falling, SCL = high"""
189         if (self.oldsda == 1 and sda == 0) and scl == 1:
190             return True
191         return False
192
193     def is_data_bit(self, scl, sda):
194         """Data sampling of receiver: SCL = rising"""
195         if self.oldscl == 0 and scl == 1:
196             return True
197         return False
198
199     def is_stop_condition(self, scl, sda):
200         """STOP condition (P): SDA = rising, SCL = high"""
201         if (self.oldsda == 0 and sda == 1) and scl == 1:
202             return True
203         return False
204
205     def find_start(self, scl, sda):
206         out = []
207         # o = {'type': 'S', 'range': (self.samplenum, self.samplenum),
208         #      'data': None, 'ann': None},
209         o = (self.is_repeat_start == 1) and 'Sr' or 'S'
210         out.append(o)
211         self.state = self.FIND_ADDRESS
212         self.bitcount = self.databyte = 0
213         self.is_repeat_start = 1
214         self.wr = -1
215         return out
216
217     def find_address_or_data(self, scl, sda):
218         """Gather 8 bits of data plus the ACK/NACK bit."""
219         out = o = []
220
221         if self.startsample == -1:
222             self.startsample = self.samplenum
223         self.bitcount += 1
224
225         # Address and data are transmitted MSB-first.
226         self.databyte <<= 1
227         self.databyte |= sda
228
229         # Return if we haven't collected all 8 + 1 bits, yet.
230         if self.bitcount != 9:
231             return []
232
233         # We received 8 address/data bits and the ACK/NACK bit.
234         self.databyte >>= 1 # Shift out unwanted ACK/NACK bit here.
235
236         ack = (sda == 1) and 'N' or 'A'
237
238         if self.state == self.FIND_ADDRESS:
239             d = self.databyte & 0xfe
240             # The READ/WRITE bit is only in address bytes, not data bytes.
241             self.wr = (self.databyte & 1) and 1 or 0
242         elif self.state == self.FIND_DATA:
243             d = self.databyte
244         else:
245             # TODO: Error?
246             pass
247
248         # o = {'type': self.state,
249         #      'range': (self.startsample, self.samplenum - 1),
250         #      'data': d, 'ann': None}
251
252         o = {'data': '0x%02x' % d}
253
254         # TODO: Simplify.
255         if self.state == self.FIND_ADDRESS and self.wr == 1:
256             o['type'] = 'AW'
257         elif self.state == self.FIND_ADDRESS and self.wr == 0:
258             o['type'] = 'AR'
259         elif self.state == self.FIND_DATA and self.wr == 1:
260             o['type'] = 'DW'
261         elif self.state == self.FIND_DATA and self.wr == 0:
262             o['type'] = 'DR'
263
264         out.append(o)
265
266         # o = {'type': ack, 'range': (self.samplenum, self.samplenum),
267         #      'data': None, 'ann': None}
268         o = ack
269         out.append(o)
270         self.bitcount = self.databyte = 0
271         self.startsample = -1
272
273         if self.state == self.FIND_ADDRESS:
274             self.state = self.FIND_DATA
275         elif self.state == self.FIND_DATA:
276             # There could be multiple data bytes in a row.
277             # So, either find a STOP condition or another data byte next.
278             pass
279
280         return out
281
282     def find_stop(self, scl, sda):
283         out = o = []
284
285         # o = {'type': 'P', 'range': (self.samplenum, self.samplenum),
286         #      'data': None, 'ann': None},
287         o = 'P'
288         out.append(o)
289         self.state = self.FIND_START
290         self.is_repeat_start = 0
291         self.wr = -1
292
293         return out
294
295     def decode(self, data):
296         """I2C protocol decoder"""
297
298         out = []
299         o = ack = d = ''
300
301         # We should accept a list of samples and iterate...
302         for sample in sampleiter(data['data'], self.unitsize):
303
304             # TODO: Eliminate the need for ord().
305             s = ord(sample.data)
306
307             # TODO: Start counting at 0 or 1?
308             self.samplenum += 1
309
310             # First sample: Save SCL/SDA value.
311             if self.oldscl == None:
312                 # Get SCL/SDA bit values (0/1 for low/high) of the first sample.
313                 self.oldscl = (s & (1 << self.scl_bit)) >> self.scl_bit
314                 self.oldsda = (s & (1 << self.sda_bit)) >> self.sda_bit
315                 continue
316
317             # Get SCL/SDA bit values (0/1 for low/high).
318             scl = (s & (1 << self.scl_bit)) >> self.scl_bit
319             sda = (s & (1 << self.sda_bit)) >> self.sda_bit
320
321             # TODO: Wait until the bus is idle (SDA = SCL = 1) first?
322
323             # State machine.
324             if self.state == self.FIND_START:
325                 if self.is_start_condition(scl, sda):
326                     out += self.find_start(scl, sda)
327             elif self.state == self.FIND_ADDRESS:
328                 if self.is_data_bit(scl, sda):
329                     out += self.find_address_or_data(scl, sda)
330             elif self.state == self.FIND_DATA:
331                 if self.is_data_bit(scl, sda):
332                     out += self.find_address_or_data(scl, sda)
333                 elif self.is_start_condition(scl, sda):
334                     out += self.find_start(scl, sda)
335                 elif self.is_stop_condition(scl, sda):
336                     out += self.find_stop(scl, sda)
337             else:
338                 # TODO: Error?
339                 pass
340
341             # Save current SDA/SCL values for the next round.
342             self.oldscl = scl
343             self.oldsda = sda
344
345         if out != []:
346             sigrok.put(out)
347
348 import sigrok
349