From: Aurelien Jacobs Date: Sun, 21 Aug 2016 14:56:56 +0000 (+0200) Subject: manson-hcs-3xxx: properly set encoding digits X-Git-Tag: libsigrok-0.5.0~256 X-Git-Url: https://sigrok.org/gitweb/?p=libsigrok.git;a=commitdiff_plain;h=1af428d018b10439071c7e3d948f4099603fa63b manson-hcs-3xxx: properly set encoding digits --- diff --git a/src/hardware/manson-hcs-3xxx/protocol.c b/src/hardware/manson-hcs-3xxx/protocol.c index 5b938326..04e18a5f 100644 --- a/src/hardware/manson-hcs-3xxx/protocol.c +++ b/src/hardware/manson-hcs-3xxx/protocol.c @@ -130,7 +130,7 @@ static void send_sample(struct sr_dev_inst *sdi) devc = sdi->priv; - sr_analog_init(&analog, &encoding, &meaning, &spec, 0); + sr_analog_init(&analog, &encoding, &meaning, &spec, 2); packet.type = SR_DF_ANALOG; packet.payload = &analog;