]> sigrok.org Git - libsigrok.git/commit
Add initial Sysclk SLA5032 driver.
authorVitaliy Vorobyov <>
Mon, 22 Apr 2019 10:24:47 +0000 (12:24 +0200)
committerUwe Hermann <redacted>
Mon, 22 Apr 2019 11:39:48 +0000 (13:39 +0200)
commit8da8c8265fabe437db254d3b659785ea2352b7dc
tree4d67bd6487b05df0c7fa8360284b9d741c90f6f7
parentddbe6880a070dfbfcb1e273c7217ce853928b2a0
Add initial Sysclk SLA5032 driver.
Makefile.am
configure.ac
src/hardware/sysclk-sla5032/api.c [new file with mode: 0644]
src/hardware/sysclk-sla5032/protocol.c [new file with mode: 0644]
src/hardware/sysclk-sla5032/protocol.h [new file with mode: 0644]
src/hardware/sysclk-sla5032/sla5032.c [new file with mode: 0644]
src/hardware/sysclk-sla5032/sla5032.h [new file with mode: 0644]