X-Git-Url: https://sigrok.org/gitweb/?a=blobdiff_plain;f=src%2Fhardware%2Fmaynuo-m97%2Fprotocol.c;h=3a4c2d4631473ce4692e774232257dcdb37a8107;hb=0dc27cd1dbc961366d14607526e54992078e9d5c;hp=05c3ae68116e00f5aa47f29fdc1d525de61d6022;hpb=4301f1726ac94b111f78c4631586480ed09e6faf;p=libsigrok.git diff --git a/src/hardware/maynuo-m97/protocol.c b/src/hardware/maynuo-m97/protocol.c index 05c3ae68..3a4c2d46 100644 --- a/src/hardware/maynuo-m97/protocol.c +++ b/src/hardware/maynuo-m97/protocol.c @@ -95,8 +95,8 @@ SR_PRIV int maynuo_m97_get_model_version(struct sr_modbus_dev_inst *modbus, uint16_t registers[2]; int ret; ret = sr_modbus_read_holding_registers(modbus, MODEL, 2, registers); - *model = RB16(registers+0); - *version = RB16(registers+1); + *model = RB16(registers + 0); + *version = RB16(registers + 1); return ret; } @@ -166,7 +166,6 @@ SR_PRIV int maynuo_m97_receive_data(int fd, int revents, void *cb_data) struct sr_dev_inst *sdi; struct dev_context *devc; struct sr_modbus_dev_inst *modbus; - struct sr_datafeed_packet packet; uint16_t registers[4]; (void)fd; @@ -180,8 +179,7 @@ SR_PRIV int maynuo_m97_receive_data(int fd, int revents, void *cb_data) devc->expecting_registers = 0; if (sr_modbus_read_holding_registers(modbus, -1, 4, registers) == SR_OK) { - packet.type = SR_DF_FRAME_BEGIN; - sr_session_send(sdi, &packet); + std_session_send_df_frame_begin(sdi); maynuo_m97_session_send_value(sdi, sdi->channels->data, RBFL(registers + 0), @@ -190,13 +188,12 @@ SR_PRIV int maynuo_m97_receive_data(int fd, int revents, void *cb_data) RBFL(registers + 2), SR_MQ_CURRENT, SR_UNIT_AMPERE, 4); - packet.type = SR_DF_FRAME_END; - sr_session_send(sdi, &packet); + std_session_send_df_frame_end(sdi); sr_sw_limits_update_samples_read(&devc->limits, 1); } if (sr_sw_limits_check(&devc->limits)) { - sdi->driver->dev_acquisition_stop(sdi); + sr_dev_acquisition_stop(sdi); return TRUE; }