X-Git-Url: https://sigrok.org/gitweb/?a=blobdiff_plain;f=pv%2Fdata%2Fdecodesignal.hpp;h=ca9f9e96f652d7247d1856567990cb0c24f9914b;hb=883041605ce8536ece950b1de191cfe71068dfba;hp=ab85246a0bc7aaf7d4a7a94059590fb42761f68a;hpb=d023660ff07f3e1de9b0c4bca14a84fbe137e02e;p=pulseview.git diff --git a/pv/data/decodesignal.hpp b/pv/data/decodesignal.hpp index ab85246a..ca9f9e96 100644 --- a/pv/data/decodesignal.hpp +++ b/pv/data/decodesignal.hpp @@ -121,6 +121,8 @@ public: void assign_signal(const uint16_t channel_id, shared_ptr signal); int get_assigned_signal_count() const; + void update_output_signals(); + void set_initial_pin_state(const uint16_t channel_id, const int init_state); virtual double get_samplerate() const; @@ -216,6 +218,7 @@ private: static void annotation_callback(srd_proto_data *pdata, void *decode_signal); static void binary_callback(srd_proto_data *pdata, void *decode_signal); + static void logic_output_callback(srd_proto_data *pdata, void *decode_signal); Q_SIGNALS: void decoder_stacked(void* decoder); ///< decoder is of type decode::Decoder* @@ -260,6 +263,9 @@ private: atomic decode_interrupt_, logic_mux_interrupt_; bool decode_paused_; + + map> output_logic_; + vector< shared_ptr> output_signals_; }; } // namespace data