X-Git-Url: https://sigrok.org/gitweb/?a=blobdiff_plain;f=hardware%2Funi-t-dmm%2Fapi.c;h=e6239a1a3edb3b9ee86c770b8688e1e1f80b18e4;hb=5e1f7c890d099ba47fca543936417a94f989445f;hp=cc14feb9a90b86884763b22fdf5e4f711757cb40;hpb=a147c7b416c51d115708dce56f096cf1fe8bfb06;p=libsigrok.git diff --git a/hardware/uni-t-dmm/api.c b/hardware/uni-t-dmm/api.c index cc14feb9..e6239a1a 100644 --- a/hardware/uni-t-dmm/api.c +++ b/hardware/uni-t-dmm/api.c @@ -45,6 +45,7 @@ SR_PRIV struct sr_dev_driver uni_t_ut61e_driver_info; SR_PRIV struct sr_dev_driver voltcraft_vc820_driver_info; SR_PRIV struct sr_dev_driver voltcraft_vc830_driver_info; SR_PRIV struct sr_dev_driver voltcraft_vc840_driver_info; +SR_PRIV struct sr_dev_driver tenma_72_7745_driver_info; SR_PRIV struct dmm_info udmms[] = { { @@ -117,6 +118,15 @@ SR_PRIV struct dmm_info udmms[] = { sr_fs9721_00_temp_c, &voltcraft_vc840_driver_info, receive_data_VOLTCRAFT_VC840, }, + { + "Tenma", "72-7745", 2400, + FS9721_PACKET_SIZE, + sr_fs9721_packet_valid, sr_fs9721_parse, + sr_fs9721_00_temp_c, + &tenma_72_7745_driver_info, + /* This is a basic rebadge of the UT60E. */ + receive_data_UNI_T_UT60E, + }, }; static int dev_clear(int dmm) @@ -378,3 +388,4 @@ DRV(uni_t_ut61e, UNI_T_UT61E, "uni-t-ut61e", "UNI-T UT61E") DRV(voltcraft_vc820, VOLTCRAFT_VC820, "voltcraft-vc820", "Voltcraft VC-820") DRV(voltcraft_vc830, VOLTCRAFT_VC830, "voltcraft-vc830", "Voltcraft VC-830") DRV(voltcraft_vc840, VOLTCRAFT_VC840, "voltcraft-vc840", "Voltcraft VC-840") +DRV(tenma_72_7745, TENMA_72_7745, "tenma-72-7745", "Tenma 72-7745")