X-Git-Url: https://sigrok.org/gitweb/?a=blobdiff_plain;f=decoders%2Frgb_led_ws281x%2Fpd.py;h=0cb6603fb81dd7eaa9b20969387784b95c2f5aef;hb=ee60935a5f599414f5378b825ade17d697c216be;hp=439f736e54fb0b869877d218105a0e98a83eb70e;hpb=92b7b49f6964f57a7d6fc4473645c993cfa4ba52;p=libsigrokdecode.git diff --git a/decoders/rgb_led_ws281x/pd.py b/decoders/rgb_led_ws281x/pd.py index 439f736..0cb6603 100644 --- a/decoders/rgb_led_ws281x/pd.py +++ b/decoders/rgb_led_ws281x/pd.py @@ -14,8 +14,7 @@ ## GNU General Public License for more details. ## ## You should have received a copy of the GNU General Public License -## along with this program; if not, write to the Free Software -## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA +## along with this program; if not, see . ## import sigrokdecode as srd @@ -49,7 +48,7 @@ class Decoder(srd.Decoder): def __init__(self): self.samplerate = None self.oldpin = None - self.packet_ss = None + self.ss_packet = None self.ss = None self.es = None self.bits = [] @@ -66,16 +65,16 @@ class Decoder(srd.Decoder): if len(self.bits) == 24: grb = reduce(lambda a, b: (a << 1) | b, self.bits) rgb = (grb & 0xff0000) >> 8 | (grb & 0x00ff00) << 8 | (grb & 0x0000ff) - self.put(self.packet_ss, samplenum, self.out_ann, + self.put(self.ss_packet, samplenum, self.out_ann, [2, ['#%06x' % rgb]]) self.bits = [] - self.packet_ss = None + self.ss_packet = None def decode(self, ss, es, data): if not self.samplerate: raise SamplerateError('Cannot decode without samplerate.') - for (samplenum, (pin, )) in data: + for (self.samplenum, (pin, )) in data: if self.oldpin is None: self.oldpin = pin continue @@ -83,7 +82,7 @@ class Decoder(srd.Decoder): # Check RESET condition (manufacturer recommends 50 usec minimal, # but real minimum is ~10 usec). if not self.inreset and not pin and self.es is not None and \ - (samplenum - self.es) / self.samplerate > 50e-6: + (self.samplenum - self.es) / self.samplerate > 50e-6: # Decode last bit value. tH = (self.es - self.ss) / self.samplerate @@ -93,36 +92,36 @@ class Decoder(srd.Decoder): self.handle_bits(self.es) self.put(self.ss, self.es, self.out_ann, [0, ['%d' % bit_]]) - self.put(self.es, samplenum, self.out_ann, + self.put(self.es, self.samplenum, self.out_ann, [1, ['RESET', 'RST', 'R']]) self.inreset = True self.bits = [] - self.packet_ss = None + self.ss_packet = None self.ss = None if not self.oldpin and pin: # Rising edge. if self.ss and self.es: - period = samplenum - self.ss + period = self.samplenum - self.ss duty = self.es - self.ss # Ideal duty for T0H: 33%, T1H: 66%. bit_ = (duty / period) > 0.5 - self.put(self.ss, samplenum, self.out_ann, + self.put(self.ss, self.samplenum, self.out_ann, [0, ['%d' % bit_]]) self.bits.append(bit_) - self.handle_bits(samplenum) + self.handle_bits(self.samplenum) - if self.packet_ss is None: - self.packet_ss = samplenum + if self.ss_packet is None: + self.ss_packet = self.samplenum - self.ss = samplenum + self.ss = self.samplenum elif self.oldpin and not pin: # Falling edge. self.inreset = False - self.es = samplenum + self.es = self.samplenum self.oldpin = pin