X-Git-Url: https://sigrok.org/gitweb/?a=blobdiff_plain;f=decoders%2Fqi%2Fpd.py;h=ab9c5fa75259033420c4c6229bd058af2ca79144;hb=HEAD;hp=1f7659df9064899d60f12b1842681f2dd3415758;hpb=4539e9ca58966ce3c9cad4801b16c315e86ace01;p=libsigrokdecode.git diff --git a/decoders/qi/pd.py b/decoders/qi/pd.py index 1f7659d..ab9c5fa 100644 --- a/decoders/qi/pd.py +++ b/decoders/qi/pd.py @@ -45,26 +45,27 @@ def bits_to_uint(bits): return reduce(lambda i, v: (i >> 1) | (v << (len(bits) - 1)), bits, 0) class Decoder(srd.Decoder): - api_version = 2 + api_version = 3 id = 'qi' name = 'Qi' longname = 'Qi charger protocol' - desc = 'Protocol used by Qi receiver' + desc = 'Protocol used by Qi receiver.' license = 'gplv2+' inputs = ['logic'] - outputs = ['qi'] + outputs = [] + tags = ['Embedded/industrial', 'Wireless/RF'] channels = ( {'id': 'qi', 'name': 'Qi', 'desc': 'Demodulated Qi data line'}, ) annotations = ( - ('bits', 'Bits'), - ('bytes-errors', 'Bit errors'), - ('bytes-start', 'Start bits'), - ('bytes-info', 'Info bits'), - ('bytes-data', 'Data bytes'), - ('packets-data', 'Packet data'), - ('packets-checksum-ok', 'Packet checksum'), - ('packets-checksum-err', 'Packet checksum'), + ('bit', 'Bit'), + ('byte-error', 'Bit error'), + ('byte-start', 'Start bit'), + ('byte-info', 'Info bit'), + ('byte-data', 'Data byte'), + ('packet-data', 'Packet data'), + ('packet-checksum-ok', 'Packet checksum OK'), + ('packet-checksum-err', 'Packet checksum error'), ) annotation_rows = ( ('bits', 'Bits', (0,)), @@ -73,6 +74,9 @@ class Decoder(srd.Decoder): ) def __init__(self): + self.reset() + + def reset(self): self.samplerate = None self.reset_variables() @@ -146,7 +150,7 @@ class Decoder(srd.Decoder): 'C: PC = %d MP = %d P = %d C = %d WS = %d WO = %d' % (powerclass, maxpower, prop, count, winsize, winoff), 'Configuration', 'C']) - elif self.packet[0] == 0x71: # Identification + elif self.packet[0] == 0x71: # Identification version = '%d.%d' % ((self.packet[1] & 0xf0) >> 4, self.packet[1] & 0x0f) mancode = '%02x%02x' % (self.packet[2], self.packet[3]) devid = '%02x%02x%02x%02x' % (self.packet[4] & ~0x80, @@ -228,16 +232,13 @@ class Decoder(srd.Decoder): self.bits.clear() self.bitsi.clear() - def next_sample(self, s): - if s == self.prev: - self.counter += 1 - else: - self.handle_transition(self.counter, s == 0) - self.prev = s - self.counter = 1 - - def decode(self, ss, es, data): + def decode(self): if not self.samplerate: raise SamplerateError('Cannot decode without samplerate.') - for (self.samplenum, (qi,)) in data: - self.next_sample(qi) + + (qi,) = self.wait() + self.handle_transition(self.samplenum, qi == 0) + while True: + prev = self.samplenum + (qi,) = self.wait({0: 'e'}) + self.handle_transition(self.samplenum - prev, qi == 0)