X-Git-Url: https://sigrok.org/gitweb/?a=blobdiff_plain;f=decoder%2Ftest%2Frgb_led_ws281x%2Fws281x_4ch_5mhz_snippet_ch1.output;h=b657938425313e3311de61c805515fa2447999e7;hb=HEAD;hp=94d8b93a1eea4f712682482f29c8328dc6d81f57;hpb=e23d40cb1206d49f708938f28fafbe6c0563559a;p=sigrok-test.git diff --git a/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch1.output b/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch1.output index 94d8b93..b657938 100644 --- a/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch1.output +++ b/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch1.output @@ -22,6 +22,9 @@ 5158-5164 rgb_led_ws281x: bit: "1" 5164-5170 rgb_led_ws281x: bit: "1" 5170-5177 rgb_led_ws281x: bit: "1" +5029-5078 rgb_led_ws281x: g: "7e" +5078-5127 rgb_led_ws281x: r: "00" +5127-5177 rgb_led_ws281x: b: "f7" 5029-5177 rgb_led_ws281x: rgb: "#007ef7" 5177-5183 rgb_led_ws281x: bit: "1" 5183-5189 rgb_led_ws281x: bit: "1" @@ -47,6 +50,9 @@ 5306-5312 rgb_led_ws281x: bit: "1" 5312-5318 rgb_led_ws281x: bit: "1" 5318-5325 rgb_led_ws281x: bit: "1" +5177-5226 rgb_led_ws281x: g: "fd" +5226-5275 rgb_led_ws281x: r: "00" +5275-5325 rgb_led_ws281x: b: "ef" 5177-5325 rgb_led_ws281x: rgb: "#00fdef" 5325-5331 rgb_led_ws281x: bit: "1" 5331-5337 rgb_led_ws281x: bit: "1" @@ -72,6 +78,9 @@ 5454-5460 rgb_led_ws281x: bit: "1" 5460-5466 rgb_led_ws281x: bit: "1" 5466-5473 rgb_led_ws281x: bit: "1" +5325-5374 rgb_led_ws281x: g: "fb" +5374-5423 rgb_led_ws281x: r: "00" +5423-5473 rgb_led_ws281x: b: "df" 5325-5473 rgb_led_ws281x: rgb: "#00fbdf" 5473-5479 rgb_led_ws281x: bit: "1" 5479-5485 rgb_led_ws281x: bit: "1" @@ -97,6 +106,9 @@ 5602-5608 rgb_led_ws281x: bit: "1" 5608-5614 rgb_led_ws281x: bit: "1" 5614-5621 rgb_led_ws281x: bit: "1" +5473-5522 rgb_led_ws281x: g: "f7" +5522-5571 rgb_led_ws281x: r: "00" +5571-5621 rgb_led_ws281x: b: "bf" 5473-5621 rgb_led_ws281x: rgb: "#00f7bf" 5621-5627 rgb_led_ws281x: bit: "1" 5627-5633 rgb_led_ws281x: bit: "1" @@ -122,6 +134,9 @@ 5750-5756 rgb_led_ws281x: bit: "1" 5756-5763 rgb_led_ws281x: bit: "1" 5763-5769 rgb_led_ws281x: bit: "0" +5621-5670 rgb_led_ws281x: g: "ff" +5670-5719 rgb_led_ws281x: r: "00" +5719-5769 rgb_led_ws281x: b: "fe" 5621-5769 rgb_led_ws281x: rgb: "#00fffe" 5769-5775 rgb_led_ws281x: bit: "1" 5775-5781 rgb_led_ws281x: bit: "1" @@ -147,6 +162,9 @@ 5898-5905 rgb_led_ws281x: bit: "1" 5905-5911 rgb_led_ws281x: bit: "0" 5911-5917 rgb_led_ws281x: bit: "1" +5769-5818 rgb_led_ws281x: g: "ef" +5818-5868 rgb_led_ws281x: r: "00" +5868-5917 rgb_led_ws281x: b: "7d" 5769-5917 rgb_led_ws281x: rgb: "#00ef7d" 5917-5923 rgb_led_ws281x: bit: "1" 5923-5930 rgb_led_ws281x: bit: "1" @@ -172,6 +190,9 @@ 6046-6053 rgb_led_ws281x: bit: "1" 6053-6059 rgb_led_ws281x: bit: "1" 6059-6065 rgb_led_ws281x: bit: "1" +5917-5967 rgb_led_ws281x: g: "df" +5967-6016 rgb_led_ws281x: r: "00" +6016-6065 rgb_led_ws281x: b: "ff" 5917-6065 rgb_led_ws281x: rgb: "#00dfff" 6065-6072 rgb_led_ws281x: bit: "1" 6072-6078 rgb_led_ws281x: bit: "0" @@ -197,6 +218,9 @@ 6195-6201 rgb_led_ws281x: bit: "1" 6201-6207 rgb_led_ws281x: bit: "1" 6207-6214 rgb_led_ws281x: bit: "1" +6065-6115 rgb_led_ws281x: g: "bf" +6115-6164 rgb_led_ws281x: r: "00" +6164-6214 rgb_led_ws281x: b: "ff" 6065-6214 rgb_led_ws281x: rgb: "#00bfff" 6214-6220 rgb_led_ws281x: bit: "0" 6220-6226 rgb_led_ws281x: bit: "1" @@ -222,6 +246,9 @@ 6343-6349 rgb_led_ws281x: bit: "1" 6349-6355 rgb_led_ws281x: bit: "1" 6355-6362 rgb_led_ws281x: bit: "1" +6214-6263 rgb_led_ws281x: g: "7f" +6263-6312 rgb_led_ws281x: r: "00" +6312-6362 rgb_led_ws281x: b: "f7" 6214-6362 rgb_led_ws281x: rgb: "#007ff7" 6362-6368 rgb_led_ws281x: bit: "1" 6368-6374 rgb_led_ws281x: bit: "1" @@ -247,6 +274,9 @@ 6491-6497 rgb_led_ws281x: bit: "1" 6497-6503 rgb_led_ws281x: bit: "1" 6503-6510 rgb_led_ws281x: bit: "1" +6362-6411 rgb_led_ws281x: g: "fd" +6411-6460 rgb_led_ws281x: r: "00" +6460-6510 rgb_led_ws281x: b: "ef" 6362-6510 rgb_led_ws281x: rgb: "#00fdef" 6510-6516 rgb_led_ws281x: bit: "1" 6516-6522 rgb_led_ws281x: bit: "1" @@ -272,6 +302,9 @@ 6639-6645 rgb_led_ws281x: bit: "1" 6645-6651 rgb_led_ws281x: bit: "1" 6651-6658 rgb_led_ws281x: bit: "1" +6510-6559 rgb_led_ws281x: g: "fb" +6559-6608 rgb_led_ws281x: r: "00" +6608-6658 rgb_led_ws281x: b: "df" 6510-6658 rgb_led_ws281x: rgb: "#00fbdf" 6658-6664 rgb_led_ws281x: bit: "1" 6664-6670 rgb_led_ws281x: bit: "1" @@ -297,6 +330,9 @@ 6787-6793 rgb_led_ws281x: bit: "1" 6793-6799 rgb_led_ws281x: bit: "1" 6799-6806 rgb_led_ws281x: bit: "1" +6658-6707 rgb_led_ws281x: g: "f7" +6707-6756 rgb_led_ws281x: r: "00" +6756-6806 rgb_led_ws281x: b: "bf" 6658-6806 rgb_led_ws281x: rgb: "#00f7bf" 6806-6812 rgb_led_ws281x: bit: "1" 6812-6818 rgb_led_ws281x: bit: "1" @@ -322,6 +358,9 @@ 6935-6941 rgb_led_ws281x: bit: "1" 6941-6948 rgb_led_ws281x: bit: "1" 6948-6954 rgb_led_ws281x: bit: "0" +6806-6855 rgb_led_ws281x: g: "ef" +6855-6904 rgb_led_ws281x: r: "00" +6904-6954 rgb_led_ws281x: b: "fe" 6806-6954 rgb_led_ws281x: rgb: "#00effe" 6954-6960 rgb_led_ws281x: bit: "1" 6960-6966 rgb_led_ws281x: bit: "1" @@ -347,6 +386,9 @@ 7083-7090 rgb_led_ws281x: bit: "1" 7090-7096 rgb_led_ws281x: bit: "0" 7096-7102 rgb_led_ws281x: bit: "1" +6954-7003 rgb_led_ws281x: g: "ff" +7003-7053 rgb_led_ws281x: r: "00" +7053-7102 rgb_led_ws281x: b: "7d" 6954-7102 rgb_led_ws281x: rgb: "#00ff7d" 7102-7108 rgb_led_ws281x: bit: "1" 7108-7115 rgb_led_ws281x: bit: "1" @@ -372,6 +414,9 @@ 7232-7238 rgb_led_ws281x: bit: "0" 7238-7244 rgb_led_ws281x: bit: "1" 7244-7250 rgb_led_ws281x: bit: "1" +7102-7152 rgb_led_ws281x: g: "df" +7152-7201 rgb_led_ws281x: r: "00" +7201-7250 rgb_led_ws281x: b: "fb" 7102-7250 rgb_led_ws281x: rgb: "#00dffb" 7250-7257 rgb_led_ws281x: bit: "1" 7257-7263 rgb_led_ws281x: bit: "0" @@ -397,6 +442,9 @@ 7380-7386 rgb_led_ws281x: bit: "1" 7386-7392 rgb_led_ws281x: bit: "1" 7392-7399 rgb_led_ws281x: bit: "1" +7250-7300 rgb_led_ws281x: g: "be" +7300-7349 rgb_led_ws281x: r: "00" +7349-7399 rgb_led_ws281x: b: "ff" 7250-7399 rgb_led_ws281x: rgb: "#00beff" 7399-7405 rgb_led_ws281x: bit: "0" 7405-7411 rgb_led_ws281x: bit: "1" @@ -422,6 +470,9 @@ 7528-7534 rgb_led_ws281x: bit: "1" 7534-7540 rgb_led_ws281x: bit: "1" 7540-7547 rgb_led_ws281x: bit: "1" +7399-7448 rgb_led_ws281x: g: "7f" +7448-7497 rgb_led_ws281x: r: "00" +7497-7547 rgb_led_ws281x: b: "f7" 7399-7547 rgb_led_ws281x: rgb: "#007ff7" 7547-7553 rgb_led_ws281x: bit: "1" 7553-7559 rgb_led_ws281x: bit: "1" @@ -447,6 +498,9 @@ 7676-7682 rgb_led_ws281x: bit: "1" 7682-7688 rgb_led_ws281x: bit: "1" 7688-7695 rgb_led_ws281x: bit: "1" +7547-7596 rgb_led_ws281x: g: "fd" +7596-7645 rgb_led_ws281x: r: "00" +7645-7695 rgb_led_ws281x: b: "ef" 7547-7695 rgb_led_ws281x: rgb: "#00fdef" 7695-7701 rgb_led_ws281x: bit: "1" 7701-7707 rgb_led_ws281x: bit: "1" @@ -472,6 +526,9 @@ 7824-7830 rgb_led_ws281x: bit: "1" 7830-7836 rgb_led_ws281x: bit: "1" 7836-7843 rgb_led_ws281x: bit: "1" +7695-7744 rgb_led_ws281x: g: "fb" +7744-7793 rgb_led_ws281x: r: "00" +7793-7843 rgb_led_ws281x: b: "df" 7695-7843 rgb_led_ws281x: rgb: "#00fbdf" 7843-7849 rgb_led_ws281x: bit: "1" 7849-7855 rgb_led_ws281x: bit: "1" @@ -497,6 +554,9 @@ 7972-7978 rgb_led_ws281x: bit: "1" 7978-7984 rgb_led_ws281x: bit: "1" 7984-7991 rgb_led_ws281x: bit: "1" +7843-7892 rgb_led_ws281x: g: "f7" +7892-7941 rgb_led_ws281x: r: "00" +7941-7991 rgb_led_ws281x: b: "bf" 7843-7991 rgb_led_ws281x: rgb: "#00f7bf" 7991-7997 rgb_led_ws281x: bit: "1" 7997-8003 rgb_led_ws281x: bit: "1" @@ -522,6 +582,9 @@ 8120-8126 rgb_led_ws281x: bit: "1" 8126-8133 rgb_led_ws281x: bit: "1" 8133-8139 rgb_led_ws281x: bit: "0" +7991-8040 rgb_led_ws281x: g: "ef" +8040-8090 rgb_led_ws281x: r: "00" +8090-8139 rgb_led_ws281x: b: "7e" 7991-8139 rgb_led_ws281x: rgb: "#00ef7e" 8139-8145 rgb_led_ws281x: bit: "1" 8145-8151 rgb_led_ws281x: bit: "1" @@ -547,6 +610,9 @@ 8268-8275 rgb_led_ws281x: bit: "1" 8275-8281 rgb_led_ws281x: bit: "0" 8281-8287 rgb_led_ws281x: bit: "1" +8139-8188 rgb_led_ws281x: g: "ff" +8188-8238 rgb_led_ws281x: r: "00" +8238-8287 rgb_led_ws281x: b: "fd" 8139-8287 rgb_led_ws281x: rgb: "#00fffd" 8287-8293 rgb_led_ws281x: bit: "1" 8293-8300 rgb_led_ws281x: bit: "1" @@ -572,6 +638,9 @@ 8417-8423 rgb_led_ws281x: bit: "0" 8423-8429 rgb_led_ws281x: bit: "1" 8429-8435 rgb_led_ws281x: bit: "1" +8287-8337 rgb_led_ws281x: g: "ff" +8337-8386 rgb_led_ws281x: r: "00" +8386-8435 rgb_led_ws281x: b: "fb" 8287-8435 rgb_led_ws281x: rgb: "#00fffb" 8435-8442 rgb_led_ws281x: bit: "1" 8442-8448 rgb_led_ws281x: bit: "0" @@ -597,6 +666,9 @@ 8565-8571 rgb_led_ws281x: bit: "1" 8571-8577 rgb_led_ws281x: bit: "1" 8577-8584 rgb_led_ws281x: bit: "1" +8435-8485 rgb_led_ws281x: g: "be" +8485-8534 rgb_led_ws281x: r: "00" +8534-8584 rgb_led_ws281x: b: "f7" 8435-8584 rgb_led_ws281x: rgb: "#00bef7" 8584-8590 rgb_led_ws281x: bit: "0" 8590-8596 rgb_led_ws281x: bit: "1" @@ -622,6 +694,9 @@ 8713-8719 rgb_led_ws281x: bit: "1" 8719-8725 rgb_led_ws281x: bit: "1" 8725-8732 rgb_led_ws281x: bit: "1" +8584-8633 rgb_led_ws281x: g: "7f" +8633-8682 rgb_led_ws281x: r: "00" +8682-8732 rgb_led_ws281x: b: "f7" 8584-8732 rgb_led_ws281x: rgb: "#007ff7" 8732-8738 rgb_led_ws281x: bit: "1" 8738-8744 rgb_led_ws281x: bit: "1" @@ -647,6 +722,9 @@ 8861-8867 rgb_led_ws281x: bit: "1" 8867-8873 rgb_led_ws281x: bit: "1" 8873-8880 rgb_led_ws281x: bit: "1" +8732-8781 rgb_led_ws281x: g: "fd" +8781-8830 rgb_led_ws281x: r: "00" +8830-8880 rgb_led_ws281x: b: "ef" 8732-8880 rgb_led_ws281x: rgb: "#00fdef" 8880-8886 rgb_led_ws281x: bit: "1" 8886-8892 rgb_led_ws281x: bit: "1" @@ -672,6 +750,9 @@ 9009-9015 rgb_led_ws281x: bit: "1" 9015-9021 rgb_led_ws281x: bit: "1" 9021-9028 rgb_led_ws281x: bit: "1" +8880-8929 rgb_led_ws281x: g: "fb" +8929-8978 rgb_led_ws281x: r: "00" +8978-9028 rgb_led_ws281x: b: "df" 8880-9028 rgb_led_ws281x: rgb: "#00fbdf" 9028-9034 rgb_led_ws281x: bit: "1" 9034-9040 rgb_led_ws281x: bit: "1" @@ -697,6 +778,9 @@ 9157-9163 rgb_led_ws281x: bit: "1" 9163-9169 rgb_led_ws281x: bit: "1" 9169-9176 rgb_led_ws281x: bit: "1" +9028-9077 rgb_led_ws281x: g: "f7" +9077-9126 rgb_led_ws281x: r: "00" +9126-9176 rgb_led_ws281x: b: "bf" 9028-9176 rgb_led_ws281x: rgb: "#00f7bf" 9176-9182 rgb_led_ws281x: bit: "1" 9182-9188 rgb_led_ws281x: bit: "1" @@ -722,6 +806,9 @@ 9305-9311 rgb_led_ws281x: bit: "1" 9311-9318 rgb_led_ws281x: bit: "1" 9318-9324 rgb_led_ws281x: bit: "0" +9176-9225 rgb_led_ws281x: g: "ef" +9225-9275 rgb_led_ws281x: r: "00" +9275-9324 rgb_led_ws281x: b: "7e" 9176-9324 rgb_led_ws281x: rgb: "#00ef7e" 9324-9330 rgb_led_ws281x: bit: "1" 9330-9337 rgb_led_ws281x: bit: "1" @@ -747,6 +834,9 @@ 9453-9460 rgb_led_ws281x: bit: "1" 9460-9466 rgb_led_ws281x: bit: "0" 9466-9472 rgb_led_ws281x: bit: "1" +9324-9373 rgb_led_ws281x: g: "df" +9373-9423 rgb_led_ws281x: r: "00" +9423-9472 rgb_led_ws281x: b: "fd" 9324-9472 rgb_led_ws281x: rgb: "#00dffd" 9472-9478 rgb_led_ws281x: bit: "1" 9478-9485 rgb_led_ws281x: bit: "1" @@ -772,6 +862,9 @@ 9602-9608 rgb_led_ws281x: bit: "0" 9608-9614 rgb_led_ws281x: bit: "1" 9614-9620 rgb_led_ws281x: bit: "1" +9472-9522 rgb_led_ws281x: g: "ff" +9522-9571 rgb_led_ws281x: r: "00" +9571-9620 rgb_led_ws281x: b: "fb" 9472-9620 rgb_led_ws281x: rgb: "#00fffb" 9620-9627 rgb_led_ws281x: bit: "1" 9627-9633 rgb_led_ws281x: bit: "0" @@ -797,6 +890,9 @@ 9750-9756 rgb_led_ws281x: bit: "1" 9756-9762 rgb_led_ws281x: bit: "1" 9762-9769 rgb_led_ws281x: bit: "1" +9620-9670 rgb_led_ws281x: g: "be" +9670-9719 rgb_led_ws281x: r: "00" +9719-9769 rgb_led_ws281x: b: "f7" 9620-9769 rgb_led_ws281x: rgb: "#00bef7" 9769-9775 rgb_led_ws281x: bit: "0" 9775-9781 rgb_led_ws281x: bit: "1" @@ -822,6 +918,9 @@ 9898-9904 rgb_led_ws281x: bit: "1" 9904-9910 rgb_led_ws281x: bit: "1" 9910-9917 rgb_led_ws281x: bit: "1" +9769-9818 rgb_led_ws281x: g: "7d" +9818-9867 rgb_led_ws281x: r: "00" +9867-9917 rgb_led_ws281x: b: "ff" 9769-9917 rgb_led_ws281x: rgb: "#007dff" 9917-9923 rgb_led_ws281x: bit: "1" 9923-9929 rgb_led_ws281x: bit: "1" @@ -847,6 +946,9 @@ 10046-10052 rgb_led_ws281x: bit: "1" 10052-10058 rgb_led_ws281x: bit: "1" 10058-10065 rgb_led_ws281x: bit: "1" +9917-9966 rgb_led_ws281x: g: "ff" +9966-10015 rgb_led_ws281x: r: "00" +10015-10065 rgb_led_ws281x: b: "ef" 9917-10065 rgb_led_ws281x: rgb: "#00ffef" 10065-10071 rgb_led_ws281x: bit: "1" 10071-10077 rgb_led_ws281x: bit: "1" @@ -872,6 +974,9 @@ 10194-10200 rgb_led_ws281x: bit: "1" 10200-10206 rgb_led_ws281x: bit: "1" 10206-10213 rgb_led_ws281x: bit: "1" +10065-10114 rgb_led_ws281x: g: "fb" +10114-10163 rgb_led_ws281x: r: "00" +10163-10213 rgb_led_ws281x: b: "df" 10065-10213 rgb_led_ws281x: rgb: "#00fbdf" 10213-10219 rgb_led_ws281x: bit: "1" 10219-10225 rgb_led_ws281x: bit: "1" @@ -897,6 +1002,9 @@ 10342-10348 rgb_led_ws281x: bit: "1" 10348-10354 rgb_led_ws281x: bit: "1" 10354-10361 rgb_led_ws281x: bit: "1" +10213-10262 rgb_led_ws281x: g: "f7" +10262-10311 rgb_led_ws281x: r: "00" +10311-10361 rgb_led_ws281x: b: "bf" 10213-10361 rgb_led_ws281x: rgb: "#00f7bf" 10361-10367 rgb_led_ws281x: bit: "1" 10367-10373 rgb_led_ws281x: bit: "1" @@ -922,6 +1030,9 @@ 10490-10496 rgb_led_ws281x: bit: "1" 10496-10503 rgb_led_ws281x: bit: "1" 10503-10509 rgb_led_ws281x: bit: "0" +10361-10410 rgb_led_ws281x: g: "ef" +10410-10460 rgb_led_ws281x: r: "00" +10460-10509 rgb_led_ws281x: b: "7e" 10361-10509 rgb_led_ws281x: rgb: "#00ef7e" 10509-10515 rgb_led_ws281x: bit: "1" 10515-10522 rgb_led_ws281x: bit: "1" @@ -947,6 +1058,9 @@ 10638-10645 rgb_led_ws281x: bit: "1" 10645-10651 rgb_led_ws281x: bit: "0" 10651-10657 rgb_led_ws281x: bit: "1" +10509-10558 rgb_led_ws281x: g: "df" +10558-10608 rgb_led_ws281x: r: "00" +10608-10657 rgb_led_ws281x: b: "fd" 10509-10657 rgb_led_ws281x: rgb: "#00dffd" 10657-10664 rgb_led_ws281x: bit: "1" 10664-10670 rgb_led_ws281x: bit: "0" @@ -972,6 +1086,9 @@ 10787-10793 rgb_led_ws281x: bit: "0" 10793-10799 rgb_led_ws281x: bit: "1" 10799-10805 rgb_led_ws281x: bit: "1" +10657-10707 rgb_led_ws281x: g: "bf" +10707-10756 rgb_led_ws281x: r: "00" +10756-10805 rgb_led_ws281x: b: "fb" 10657-10805 rgb_led_ws281x: rgb: "#00bffb" 10805-10812 rgb_led_ws281x: bit: "1" 10812-10818 rgb_led_ws281x: bit: "0" @@ -997,6 +1114,9 @@ 10935-10941 rgb_led_ws281x: bit: "1" 10941-10947 rgb_led_ws281x: bit: "1" 10947-10954 rgb_led_ws281x: bit: "1" +10805-10855 rgb_led_ws281x: g: "be" +10855-10904 rgb_led_ws281x: r: "00" +10904-10954 rgb_led_ws281x: b: "f7" 10805-10954 rgb_led_ws281x: rgb: "#00bef7" 10954-10960 rgb_led_ws281x: bit: "0" 10960-10966 rgb_led_ws281x: bit: "1" @@ -1022,6 +1142,9 @@ 11083-11089 rgb_led_ws281x: bit: "1" 11089-11095 rgb_led_ws281x: bit: "1" 11095-11102 rgb_led_ws281x: bit: "1" +10954-11003 rgb_led_ws281x: g: "7d" +11003-11052 rgb_led_ws281x: r: "00" +11052-11102 rgb_led_ws281x: b: "ef" 10954-11102 rgb_led_ws281x: rgb: "#007def" 11102-11108 rgb_led_ws281x: bit: "1" 11108-11114 rgb_led_ws281x: bit: "1" @@ -1047,6 +1170,9 @@ 11231-11237 rgb_led_ws281x: bit: "1" 11237-11243 rgb_led_ws281x: bit: "1" 11243-11250 rgb_led_ws281x: bit: "1" +11102-11151 rgb_led_ws281x: g: "ff" +11151-11200 rgb_led_ws281x: r: "00" +11200-11250 rgb_led_ws281x: b: "ef" 11102-11250 rgb_led_ws281x: rgb: "#00ffef" 11250-11256 rgb_led_ws281x: bit: "1" 11256-11262 rgb_led_ws281x: bit: "1" @@ -1072,6 +1198,9 @@ 11379-11385 rgb_led_ws281x: bit: "1" 11385-11391 rgb_led_ws281x: bit: "1" 11391-11398 rgb_led_ws281x: bit: "1" +11250-11299 rgb_led_ws281x: g: "ff" +11299-11348 rgb_led_ws281x: r: "00" +11348-11398 rgb_led_ws281x: b: "df" 11250-11398 rgb_led_ws281x: rgb: "#00ffdf" 11398-11404 rgb_led_ws281x: bit: "1" 11404-11410 rgb_led_ws281x: bit: "1" @@ -1097,6 +1226,9 @@ 11527-11533 rgb_led_ws281x: bit: "1" 11533-11540 rgb_led_ws281x: bit: "1" 11540-11546 rgb_led_ws281x: bit: "0" +11398-11447 rgb_led_ws281x: g: "f7" +11447-11496 rgb_led_ws281x: r: "00" +11496-11546 rgb_led_ws281x: b: "be" 11398-11546 rgb_led_ws281x: rgb: "#00f7be" 11546-11552 rgb_led_ws281x: bit: "1" 11552-11558 rgb_led_ws281x: bit: "1" @@ -1122,6 +1254,9 @@ 11675-11681 rgb_led_ws281x: bit: "1" 11681-11688 rgb_led_ws281x: bit: "1" 11688-11694 rgb_led_ws281x: bit: "1" +11546-11595 rgb_led_ws281x: g: "ef" +11595-11645 rgb_led_ws281x: r: "00" +11645-11694 rgb_led_ws281x: b: "7f" 11546-11694 rgb_led_ws281x: rgb: "#00ef7f" 11694-11700 rgb_led_ws281x: bit: "1" 11700-11707 rgb_led_ws281x: bit: "1" @@ -1147,6 +1282,9 @@ 11823-11830 rgb_led_ws281x: bit: "1" 11830-11836 rgb_led_ws281x: bit: "0" 11836-11842 rgb_led_ws281x: bit: "1" +11694-11743 rgb_led_ws281x: g: "df" +11743-11793 rgb_led_ws281x: r: "00" +11793-11842 rgb_led_ws281x: b: "fd" 11694-11842 rgb_led_ws281x: rgb: "#00dffd" 11842-11849 rgb_led_ws281x: bit: "1" 11849-11855 rgb_led_ws281x: bit: "0" @@ -1172,6 +1310,9 @@ 11972-11978 rgb_led_ws281x: bit: "0" 11978-11984 rgb_led_ws281x: bit: "1" 11984-11990 rgb_led_ws281x: bit: "1" +11842-11892 rgb_led_ws281x: g: "bf" +11892-11941 rgb_led_ws281x: r: "00" +11941-11990 rgb_led_ws281x: b: "fb" 11842-11990 rgb_led_ws281x: rgb: "#00bffb" 11990-11997 rgb_led_ws281x: bit: "1" 11997-12003 rgb_led_ws281x: bit: "1" @@ -1197,6 +1338,9 @@ 12120-12126 rgb_led_ws281x: bit: "1" 12126-12132 rgb_led_ws281x: bit: "1" 12132-12139 rgb_led_ws281x: bit: "1" +11990-12040 rgb_led_ws281x: g: "fe" +12040-12089 rgb_led_ws281x: r: "00" +12089-12139 rgb_led_ws281x: b: "f7" 11990-12139 rgb_led_ws281x: rgb: "#00fef7" 12139-12145 rgb_led_ws281x: bit: "0" 12145-12151 rgb_led_ws281x: bit: "1" @@ -1222,6 +1366,9 @@ 12268-12274 rgb_led_ws281x: bit: "1" 12274-12280 rgb_led_ws281x: bit: "1" 12280-12287 rgb_led_ws281x: bit: "1" +12139-12188 rgb_led_ws281x: g: "7d" +12188-12237 rgb_led_ws281x: r: "00" +12237-12287 rgb_led_ws281x: b: "ef" 12139-12287 rgb_led_ws281x: rgb: "#007def" 12287-12293 rgb_led_ws281x: bit: "1" 12293-12299 rgb_led_ws281x: bit: "1" @@ -1247,6 +1394,9 @@ 12416-12422 rgb_led_ws281x: bit: "1" 12422-12428 rgb_led_ws281x: bit: "1" 12428-12435 rgb_led_ws281x: bit: "1" +12287-12336 rgb_led_ws281x: g: "ff" +12336-12385 rgb_led_ws281x: r: "00" +12385-12435 rgb_led_ws281x: b: "ff" 12287-12435 rgb_led_ws281x: rgb: "#00ffff" 12435-12441 rgb_led_ws281x: bit: "1" 12441-12447 rgb_led_ws281x: bit: "1" @@ -1272,6 +1422,9 @@ 12564-12570 rgb_led_ws281x: bit: "1" 12570-12576 rgb_led_ws281x: bit: "1" 12576-12583 rgb_led_ws281x: bit: "1" +12435-12484 rgb_led_ws281x: g: "ff" +12484-12533 rgb_led_ws281x: r: "00" +12533-12583 rgb_led_ws281x: b: "df" 12435-12583 rgb_led_ws281x: rgb: "#00ffdf" 12583-12589 rgb_led_ws281x: bit: "1" 12589-12595 rgb_led_ws281x: bit: "1" @@ -1297,6 +1450,9 @@ 12712-12718 rgb_led_ws281x: bit: "1" 12718-12725 rgb_led_ws281x: bit: "1" 12725-12731 rgb_led_ws281x: bit: "0" +12583-12632 rgb_led_ws281x: g: "f7" +12632-12681 rgb_led_ws281x: r: "00" +12681-12731 rgb_led_ws281x: b: "be" 12583-12731 rgb_led_ws281x: rgb: "#00f7be" 12731-12737 rgb_led_ws281x: bit: "1" 12737-12743 rgb_led_ws281x: bit: "1" @@ -1322,6 +1478,9 @@ 12860-12866 rgb_led_ws281x: bit: "1" 12866-12873 rgb_led_ws281x: bit: "1" 12873-12879 rgb_led_ws281x: bit: "1" +12731-12780 rgb_led_ws281x: g: "ef" +12780-12830 rgb_led_ws281x: r: "00" +12830-12879 rgb_led_ws281x: b: "7f" 12731-12879 rgb_led_ws281x: rgb: "#00ef7f" 12879-12885 rgb_led_ws281x: bit: "1" 12885-12892 rgb_led_ws281x: bit: "1" @@ -1347,6 +1506,9 @@ 13008-13015 rgb_led_ws281x: bit: "1" 13015-13021 rgb_led_ws281x: bit: "1" 13021-13027 rgb_led_ws281x: bit: "1" +12879-12928 rgb_led_ws281x: g: "df" +12928-12978 rgb_led_ws281x: r: "00" +12978-13027 rgb_led_ws281x: b: "ff" 12879-13027 rgb_led_ws281x: rgb: "#00dfff" 13027-13034 rgb_led_ws281x: bit: "1" 13034-13040 rgb_led_ws281x: bit: "0" @@ -1372,6 +1534,9 @@ 13157-13163 rgb_led_ws281x: bit: "0" 13163-13169 rgb_led_ws281x: bit: "1" 13169-13176 rgb_led_ws281x: bit: "1" +13027-13077 rgb_led_ws281x: g: "bf" +13077-13126 rgb_led_ws281x: r: "00" +13126-13176 rgb_led_ws281x: b: "fb" 13027-13176 rgb_led_ws281x: rgb: "#00bffb" 13176-13182 rgb_led_ws281x: bit: "0" 13182-13188 rgb_led_ws281x: bit: "1" @@ -1397,6 +1562,9 @@ 13305-13311 rgb_led_ws281x: bit: "1" 13311-13317 rgb_led_ws281x: bit: "1" 13317-13324 rgb_led_ws281x: bit: "1" +13176-13225 rgb_led_ws281x: g: "7e" +13225-13274 rgb_led_ws281x: r: "00" +13274-13324 rgb_led_ws281x: b: "f7" 13176-13324 rgb_led_ws281x: rgb: "#007ef7" 13324-13330 rgb_led_ws281x: bit: "1" 13330-13336 rgb_led_ws281x: bit: "1" @@ -1422,6 +1590,9 @@ 13453-13459 rgb_led_ws281x: bit: "1" 13459-13465 rgb_led_ws281x: bit: "1" 13465-13472 rgb_led_ws281x: bit: "1" +13324-13373 rgb_led_ws281x: g: "fd" +13373-13422 rgb_led_ws281x: r: "00" +13422-13472 rgb_led_ws281x: b: "ef" 13324-13472 rgb_led_ws281x: rgb: "#00fdef" 13472-13478 rgb_led_ws281x: bit: "1" 13478-13484 rgb_led_ws281x: bit: "1" @@ -1447,6 +1618,9 @@ 13601-13607 rgb_led_ws281x: bit: "1" 13607-13613 rgb_led_ws281x: bit: "1" 13613-13620 rgb_led_ws281x: bit: "1" +13472-13521 rgb_led_ws281x: g: "ff" +13521-13570 rgb_led_ws281x: r: "00" +13570-13620 rgb_led_ws281x: b: "ff" 13472-13620 rgb_led_ws281x: rgb: "#00ffff" 13620-13626 rgb_led_ws281x: bit: "1" 13626-13632 rgb_led_ws281x: bit: "1" @@ -1472,6 +1646,9 @@ 13749-13755 rgb_led_ws281x: bit: "1" 13755-13761 rgb_led_ws281x: bit: "1" 13761-13768 rgb_led_ws281x: bit: "1" +13620-13669 rgb_led_ws281x: g: "ff" +13669-13718 rgb_led_ws281x: r: "00" +13718-13768 rgb_led_ws281x: b: "ff" 13620-13768 rgb_led_ws281x: rgb: "#00ffff" 13768-13774 rgb_led_ws281x: bit: "1" 13774-13780 rgb_led_ws281x: bit: "1" @@ -1497,6 +1674,9 @@ 13897-13903 rgb_led_ws281x: bit: "1" 13903-13910 rgb_led_ws281x: bit: "1" 13910-13916 rgb_led_ws281x: bit: "0" +13768-13817 rgb_led_ws281x: g: "ff" +13817-13866 rgb_led_ws281x: r: "00" +13866-13916 rgb_led_ws281x: b: "be" 13768-13916 rgb_led_ws281x: rgb: "#00ffbe" 13916-13922 rgb_led_ws281x: bit: "1" 13922-13928 rgb_led_ws281x: bit: "1" @@ -1522,6 +1702,9 @@ 14045-14052 rgb_led_ws281x: bit: "1" 14052-14058 rgb_led_ws281x: bit: "0" 14058-14064 rgb_led_ws281x: bit: "1" +13916-13965 rgb_led_ws281x: g: "ef" +13965-14015 rgb_led_ws281x: r: "00" +14015-14064 rgb_led_ws281x: b: "7d" 13916-14064 rgb_led_ws281x: rgb: "#00ef7d" 14064-14070 rgb_led_ws281x: bit: "1" 14070-14077 rgb_led_ws281x: bit: "1" @@ -1547,6 +1730,9 @@ 14193-14200 rgb_led_ws281x: bit: "1" 14200-14206 rgb_led_ws281x: bit: "1" 14206-14212 rgb_led_ws281x: bit: "1" +14064-14114 rgb_led_ws281x: g: "df" +14114-14163 rgb_led_ws281x: r: "00" +14163-14212 rgb_led_ws281x: b: "ff" 14064-14212 rgb_led_ws281x: rgb: "#00dfff" 14212-14219 rgb_led_ws281x: bit: "1" 14219-14225 rgb_led_ws281x: bit: "0" @@ -1572,6 +1758,9 @@ 14342-14348 rgb_led_ws281x: bit: "0" 14348-14354 rgb_led_ws281x: bit: "1" 14354-14361 rgb_led_ws281x: bit: "1" +14212-14262 rgb_led_ws281x: g: "bf" +14262-14311 rgb_led_ws281x: r: "00" +14311-14361 rgb_led_ws281x: b: "fb" 14212-14361 rgb_led_ws281x: rgb: "#00bffb" 14361-14367 rgb_led_ws281x: bit: "0" 14367-14373 rgb_led_ws281x: bit: "1" @@ -1597,6 +1786,9 @@ 14490-14496 rgb_led_ws281x: bit: "1" 14496-14502 rgb_led_ws281x: bit: "1" 14502-14509 rgb_led_ws281x: bit: "1" +14361-14410 rgb_led_ws281x: g: "7e" +14410-14459 rgb_led_ws281x: r: "00" +14459-14509 rgb_led_ws281x: b: "f7" 14361-14509 rgb_led_ws281x: rgb: "#007ef7" 14509-14515 rgb_led_ws281x: bit: "1" 14515-14521 rgb_led_ws281x: bit: "1" @@ -1622,6 +1814,9 @@ 14638-14644 rgb_led_ws281x: bit: "1" 14644-14650 rgb_led_ws281x: bit: "1" 14650-14657 rgb_led_ws281x: bit: "1" +14509-14558 rgb_led_ws281x: g: "fd" +14558-14607 rgb_led_ws281x: r: "00" +14607-14657 rgb_led_ws281x: b: "ef" 14509-14657 rgb_led_ws281x: rgb: "#00fdef" 14657-14663 rgb_led_ws281x: bit: "1" 14663-14669 rgb_led_ws281x: bit: "1" @@ -1647,6 +1842,9 @@ 14786-14792 rgb_led_ws281x: bit: "1" 14792-14798 rgb_led_ws281x: bit: "1" 14798-14805 rgb_led_ws281x: bit: "1" +14657-14706 rgb_led_ws281x: g: "fb" +14706-14755 rgb_led_ws281x: r: "00" +14755-14805 rgb_led_ws281x: b: "df" 14657-14805 rgb_led_ws281x: rgb: "#00fbdf" 14805-14811 rgb_led_ws281x: bit: "1" 14811-14817 rgb_led_ws281x: bit: "1" @@ -1672,6 +1870,9 @@ 14934-14940 rgb_led_ws281x: bit: "1" 14940-14946 rgb_led_ws281x: bit: "1" 14946-14953 rgb_led_ws281x: bit: "1" +14805-14854 rgb_led_ws281x: g: "ff" +14854-14903 rgb_led_ws281x: r: "00" +14903-14953 rgb_led_ws281x: b: "bf" 14805-14953 rgb_led_ws281x: rgb: "#00ffbf" 14953-14959 rgb_led_ws281x: bit: "1" 14959-14965 rgb_led_ws281x: bit: "1" @@ -1697,6 +1898,9 @@ 15082-15088 rgb_led_ws281x: bit: "1" 15088-15095 rgb_led_ws281x: bit: "1" 15095-15101 rgb_led_ws281x: bit: "0" +14953-15002 rgb_led_ws281x: g: "ff" +15002-15051 rgb_led_ws281x: r: "00" +15051-15101 rgb_led_ws281x: b: "be" 14953-15101 rgb_led_ws281x: rgb: "#00ffbe" 15101-15107 rgb_led_ws281x: bit: "1" 15107-15113 rgb_led_ws281x: bit: "1" @@ -1722,6 +1926,9 @@ 15230-15237 rgb_led_ws281x: bit: "1" 15237-15243 rgb_led_ws281x: bit: "0" 15243-15249 rgb_led_ws281x: bit: "1" +15101-15150 rgb_led_ws281x: g: "ef" +15150-15200 rgb_led_ws281x: r: "00" +15200-15249 rgb_led_ws281x: b: "7d" 15101-15249 rgb_led_ws281x: rgb: "#00ef7d" 15249-15255 rgb_led_ws281x: bit: "1" 15255-15262 rgb_led_ws281x: bit: "1" @@ -1747,6 +1954,9 @@ 15378-15385 rgb_led_ws281x: bit: "1" 15385-15391 rgb_led_ws281x: bit: "1" 15391-15397 rgb_led_ws281x: bit: "1" +15249-15299 rgb_led_ws281x: g: "df" +15299-15348 rgb_led_ws281x: r: "00" +15348-15397 rgb_led_ws281x: b: "ff" 15249-15397 rgb_led_ws281x: rgb: "#00dfff" 15397-15404 rgb_led_ws281x: bit: "1" 15404-15410 rgb_led_ws281x: bit: "0" @@ -1772,6 +1982,9 @@ 15527-15533 rgb_led_ws281x: bit: "1" 15533-15539 rgb_led_ws281x: bit: "1" 15539-15546 rgb_led_ws281x: bit: "1" +15397-15447 rgb_led_ws281x: g: "bf" +15447-15496 rgb_led_ws281x: r: "00" +15496-15546 rgb_led_ws281x: b: "ff" 15397-15546 rgb_led_ws281x: rgb: "#00bfff" 15546-15552 rgb_led_ws281x: bit: "0" 15552-15558 rgb_led_ws281x: bit: "1" @@ -1797,6 +2010,9 @@ 15675-15681 rgb_led_ws281x: bit: "1" 15681-15687 rgb_led_ws281x: bit: "1" 15687-15694 rgb_led_ws281x: bit: "1" +15546-15595 rgb_led_ws281x: g: "7e" +15595-15644 rgb_led_ws281x: r: "00" +15644-15694 rgb_led_ws281x: b: "f7" 15546-15694 rgb_led_ws281x: rgb: "#007ef7" 15694-15700 rgb_led_ws281x: bit: "1" 15700-15706 rgb_led_ws281x: bit: "1" @@ -1822,6 +2038,9 @@ 15823-15829 rgb_led_ws281x: bit: "1" 15829-15835 rgb_led_ws281x: bit: "1" 15835-15842 rgb_led_ws281x: bit: "1" +15694-15743 rgb_led_ws281x: g: "fd" +15743-15792 rgb_led_ws281x: r: "00" +15792-15842 rgb_led_ws281x: b: "ef" 15694-15842 rgb_led_ws281x: rgb: "#00fdef" 15842-15848 rgb_led_ws281x: bit: "1" 15848-15854 rgb_led_ws281x: bit: "1" @@ -1847,6 +2066,9 @@ 15971-15977 rgb_led_ws281x: bit: "1" 15977-15983 rgb_led_ws281x: bit: "1" 15983-15990 rgb_led_ws281x: bit: "1" +15842-15891 rgb_led_ws281x: g: "fb" +15891-15940 rgb_led_ws281x: r: "00" +15940-15990 rgb_led_ws281x: b: "df" 15842-15990 rgb_led_ws281x: rgb: "#00fbdf" 15990-15996 rgb_led_ws281x: bit: "1" 15996-16002 rgb_led_ws281x: bit: "1" @@ -1872,6 +2094,9 @@ 16119-16125 rgb_led_ws281x: bit: "1" 16125-16131 rgb_led_ws281x: bit: "1" 16131-16138 rgb_led_ws281x: bit: "1" +15990-16039 rgb_led_ws281x: g: "f7" +16039-16088 rgb_led_ws281x: r: "00" +16088-16138 rgb_led_ws281x: b: "bf" 15990-16138 rgb_led_ws281x: rgb: "#00f7bf" 16138-16144 rgb_led_ws281x: bit: "1" 16144-16150 rgb_led_ws281x: bit: "1" @@ -1897,6 +2122,9 @@ 16267-16273 rgb_led_ws281x: bit: "1" 16273-16280 rgb_led_ws281x: bit: "1" 16280-16286 rgb_led_ws281x: bit: "0" +16138-16187 rgb_led_ws281x: g: "ff" +16187-16237 rgb_led_ws281x: r: "00" +16237-16286 rgb_led_ws281x: b: "7e" 16138-16286 rgb_led_ws281x: rgb: "#00ff7e" 16286-16292 rgb_led_ws281x: bit: "1" 16292-16298 rgb_led_ws281x: bit: "1" @@ -1922,6 +2150,9 @@ 16415-16422 rgb_led_ws281x: bit: "1" 16422-16428 rgb_led_ws281x: bit: "0" 16428-16434 rgb_led_ws281x: bit: "1" +16286-16335 rgb_led_ws281x: g: "ff" +16335-16385 rgb_led_ws281x: r: "00" +16385-16434 rgb_led_ws281x: b: "7d" 16286-16434 rgb_led_ws281x: rgb: "#00ff7d" 16434-16440 rgb_led_ws281x: bit: "1" 16440-16447 rgb_led_ws281x: bit: "1" @@ -1947,6 +2178,9 @@ 16564-16570 rgb_led_ws281x: bit: "0" 16570-16576 rgb_led_ws281x: bit: "1" 16576-16582 rgb_led_ws281x: bit: "1" +16434-16484 rgb_led_ws281x: g: "df" +16484-16533 rgb_led_ws281x: r: "00" +16533-16582 rgb_led_ws281x: b: "fb" 16434-16582 rgb_led_ws281x: rgb: "#00dffb" 16582-16589 rgb_led_ws281x: bit: "1" 16589-16595 rgb_led_ws281x: bit: "0" @@ -1972,6 +2206,9 @@ 16712-16718 rgb_led_ws281x: bit: "1" 16718-16724 rgb_led_ws281x: bit: "1" 16724-16731 rgb_led_ws281x: bit: "1" +16582-16632 rgb_led_ws281x: g: "bf" +16632-16681 rgb_led_ws281x: r: "00" +16681-16731 rgb_led_ws281x: b: "ff" 16582-16731 rgb_led_ws281x: rgb: "#00bfff" 16731-16737 rgb_led_ws281x: bit: "0" 16737-16743 rgb_led_ws281x: bit: "1" @@ -1997,6 +2234,9 @@ 16860-16866 rgb_led_ws281x: bit: "1" 16866-16872 rgb_led_ws281x: bit: "1" 16872-16879 rgb_led_ws281x: bit: "1" +16731-16780 rgb_led_ws281x: g: "7f" +16780-16829 rgb_led_ws281x: r: "00" +16829-16879 rgb_led_ws281x: b: "f7" 16731-16879 rgb_led_ws281x: rgb: "#007ff7" 16879-16885 rgb_led_ws281x: bit: "1" 16885-16891 rgb_led_ws281x: bit: "1" @@ -2022,6 +2262,9 @@ 17008-17014 rgb_led_ws281x: bit: "1" 17014-17020 rgb_led_ws281x: bit: "1" 17020-17027 rgb_led_ws281x: bit: "1" +16879-16928 rgb_led_ws281x: g: "fd" +16928-16977 rgb_led_ws281x: r: "00" +16977-17027 rgb_led_ws281x: b: "ef" 16879-17027 rgb_led_ws281x: rgb: "#00fdef" 17027-17033 rgb_led_ws281x: bit: "1" 17033-17039 rgb_led_ws281x: bit: "1" @@ -2047,6 +2290,9 @@ 17156-17162 rgb_led_ws281x: bit: "1" 17162-17168 rgb_led_ws281x: bit: "1" 17168-17175 rgb_led_ws281x: bit: "1" +17027-17076 rgb_led_ws281x: g: "fb" +17076-17125 rgb_led_ws281x: r: "00" +17125-17175 rgb_led_ws281x: b: "df" 17027-17175 rgb_led_ws281x: rgb: "#00fbdf" 17175-17181 rgb_led_ws281x: bit: "1" 17181-17187 rgb_led_ws281x: bit: "1" @@ -2072,6 +2318,9 @@ 17304-17310 rgb_led_ws281x: bit: "1" 17310-17316 rgb_led_ws281x: bit: "1" 17316-17323 rgb_led_ws281x: bit: "1" +17175-17224 rgb_led_ws281x: g: "f7" +17224-17273 rgb_led_ws281x: r: "00" +17273-17323 rgb_led_ws281x: b: "bf" 17175-17323 rgb_led_ws281x: rgb: "#00f7bf" 17323-17329 rgb_led_ws281x: bit: "1" 17329-17335 rgb_led_ws281x: bit: "1" @@ -2097,6 +2346,9 @@ 17452-17458 rgb_led_ws281x: bit: "1" 17458-17465 rgb_led_ws281x: bit: "1" 17465-17471 rgb_led_ws281x: bit: "0" +17323-17372 rgb_led_ws281x: g: "ef" +17372-17422 rgb_led_ws281x: r: "00" +17422-17471 rgb_led_ws281x: b: "7e" 17323-17471 rgb_led_ws281x: rgb: "#00ef7e" 17471-17477 rgb_led_ws281x: bit: "1" 17477-17483 rgb_led_ws281x: bit: "1" @@ -2122,6 +2374,9 @@ 17600-17607 rgb_led_ws281x: bit: "1" 17607-17613 rgb_led_ws281x: bit: "0" 17613-17619 rgb_led_ws281x: bit: "1" +17471-17520 rgb_led_ws281x: g: "ff" +17520-17570 rgb_led_ws281x: r: "00" +17570-17619 rgb_led_ws281x: b: "7d" 17471-17619 rgb_led_ws281x: rgb: "#00ff7d" 17619-17625 rgb_led_ws281x: bit: "1" 17625-17632 rgb_led_ws281x: bit: "1" @@ -2147,6 +2402,9 @@ 17749-17755 rgb_led_ws281x: bit: "0" 17755-17761 rgb_led_ws281x: bit: "1" 17761-17767 rgb_led_ws281x: bit: "1" +17619-17669 rgb_led_ws281x: g: "df" +17669-17718 rgb_led_ws281x: r: "00" +17718-17767 rgb_led_ws281x: b: "fb" 17619-17767 rgb_led_ws281x: rgb: "#00dffb" 17767-17774 rgb_led_ws281x: bit: "1" 17774-17780 rgb_led_ws281x: bit: "0" @@ -2172,6 +2430,9 @@ 17897-17903 rgb_led_ws281x: bit: "1" 17903-17909 rgb_led_ws281x: bit: "1" 17909-17916 rgb_led_ws281x: bit: "1" +17767-17817 rgb_led_ws281x: g: "be" +17817-17866 rgb_led_ws281x: r: "00" +17866-17916 rgb_led_ws281x: b: "ff" 17767-17916 rgb_led_ws281x: rgb: "#00beff" 17916-17922 rgb_led_ws281x: bit: "0" 17922-17928 rgb_led_ws281x: bit: "1" @@ -2197,6 +2458,9 @@ 18045-18051 rgb_led_ws281x: bit: "1" 18051-18057 rgb_led_ws281x: bit: "1" 18057-18064 rgb_led_ws281x: bit: "1" +17916-17965 rgb_led_ws281x: g: "7f" +17965-18014 rgb_led_ws281x: r: "00" +18014-18064 rgb_led_ws281x: b: "f7" 17916-18064 rgb_led_ws281x: rgb: "#007ff7" 18064-18070 rgb_led_ws281x: bit: "1" 18070-18076 rgb_led_ws281x: bit: "1" @@ -2222,6 +2486,9 @@ 18193-18199 rgb_led_ws281x: bit: "1" 18199-18205 rgb_led_ws281x: bit: "1" 18205-18212 rgb_led_ws281x: bit: "1" +18064-18113 rgb_led_ws281x: g: "ff" +18113-18162 rgb_led_ws281x: r: "00" +18162-18212 rgb_led_ws281x: b: "ef" 18064-18212 rgb_led_ws281x: rgb: "#00ffef" 18212-18218 rgb_led_ws281x: bit: "1" 18218-18224 rgb_led_ws281x: bit: "1" @@ -2247,6 +2514,9 @@ 18341-18347 rgb_led_ws281x: bit: "1" 18347-18353 rgb_led_ws281x: bit: "1" 18353-18360 rgb_led_ws281x: bit: "1" +18212-18261 rgb_led_ws281x: g: "fb" +18261-18310 rgb_led_ws281x: r: "00" +18310-18360 rgb_led_ws281x: b: "df" 18212-18360 rgb_led_ws281x: rgb: "#00fbdf" 18360-18366 rgb_led_ws281x: bit: "1" 18366-18372 rgb_led_ws281x: bit: "1" @@ -2272,6 +2542,9 @@ 18489-18495 rgb_led_ws281x: bit: "1" 18495-18501 rgb_led_ws281x: bit: "1" 18501-18508 rgb_led_ws281x: bit: "1" +18360-18409 rgb_led_ws281x: g: "f7" +18409-18458 rgb_led_ws281x: r: "00" +18458-18508 rgb_led_ws281x: b: "bf" 18360-18508 rgb_led_ws281x: rgb: "#00f7bf" 18508-18514 rgb_led_ws281x: bit: "1" 18514-18520 rgb_led_ws281x: bit: "1" @@ -2297,6 +2570,9 @@ 18637-18643 rgb_led_ws281x: bit: "1" 18643-18650 rgb_led_ws281x: bit: "1" 18650-18656 rgb_led_ws281x: bit: "0" +18508-18557 rgb_led_ws281x: g: "ef" +18557-18607 rgb_led_ws281x: r: "00" +18607-18656 rgb_led_ws281x: b: "7e" 18508-18656 rgb_led_ws281x: rgb: "#00ef7e" 18656-18662 rgb_led_ws281x: bit: "1" 18662-18669 rgb_led_ws281x: bit: "1" @@ -2322,6 +2598,9 @@ 18785-18792 rgb_led_ws281x: bit: "1" 18792-18798 rgb_led_ws281x: bit: "0" 18798-18804 rgb_led_ws281x: bit: "1" +18656-18705 rgb_led_ws281x: g: "df" +18705-18755 rgb_led_ws281x: r: "00" +18755-18804 rgb_led_ws281x: b: "fd" 18656-18804 rgb_led_ws281x: rgb: "#00dffd" 18804-18810 rgb_led_ws281x: bit: "1" 18810-18817 rgb_led_ws281x: bit: "1" @@ -2347,6 +2626,9 @@ 18934-18940 rgb_led_ws281x: bit: "0" 18940-18946 rgb_led_ws281x: bit: "1" 18946-18952 rgb_led_ws281x: bit: "1" +18804-18854 rgb_led_ws281x: g: "ff" +18854-18903 rgb_led_ws281x: r: "00" +18903-18952 rgb_led_ws281x: b: "fb" 18804-18952 rgb_led_ws281x: rgb: "#00fffb" 18952-18959 rgb_led_ws281x: bit: "1" 18959-18965 rgb_led_ws281x: bit: "0" @@ -2372,6 +2654,9 @@ 19082-19088 rgb_led_ws281x: bit: "1" 19088-19094 rgb_led_ws281x: bit: "1" 19094-19101 rgb_led_ws281x: bit: "1" +18952-19002 rgb_led_ws281x: g: "be" +19002-19051 rgb_led_ws281x: r: "00" +19051-19101 rgb_led_ws281x: b: "f7" 18952-19101 rgb_led_ws281x: rgb: "#00bef7" 19101-19107 rgb_led_ws281x: bit: "0" 19107-19113 rgb_led_ws281x: bit: "1" @@ -2397,6 +2682,9 @@ 19230-19236 rgb_led_ws281x: bit: "1" 19236-19242 rgb_led_ws281x: bit: "1" 19242-19249 rgb_led_ws281x: bit: "1" +19101-19150 rgb_led_ws281x: g: "7f" +19150-19199 rgb_led_ws281x: r: "00" +19199-19249 rgb_led_ws281x: b: "ff" 19101-19249 rgb_led_ws281x: rgb: "#007fff" 19249-19255 rgb_led_ws281x: bit: "1" 19255-19261 rgb_led_ws281x: bit: "1" @@ -2422,6 +2710,9 @@ 19378-19384 rgb_led_ws281x: bit: "1" 19384-19390 rgb_led_ws281x: bit: "1" 19390-19397 rgb_led_ws281x: bit: "1" +19249-19298 rgb_led_ws281x: g: "ff" +19298-19347 rgb_led_ws281x: r: "00" +19347-19397 rgb_led_ws281x: b: "ef" 19249-19397 rgb_led_ws281x: rgb: "#00ffef" 19397-19403 rgb_led_ws281x: bit: "1" 19403-19409 rgb_led_ws281x: bit: "1" @@ -2447,6 +2738,9 @@ 19526-19532 rgb_led_ws281x: bit: "1" 19532-19538 rgb_led_ws281x: bit: "1" 19538-19545 rgb_led_ws281x: bit: "1" +19397-19446 rgb_led_ws281x: g: "fb" +19446-19495 rgb_led_ws281x: r: "00" +19495-19545 rgb_led_ws281x: b: "df" 19397-19545 rgb_led_ws281x: rgb: "#00fbdf" 19545-19551 rgb_led_ws281x: bit: "1" 19551-19557 rgb_led_ws281x: bit: "1" @@ -2472,6 +2766,9 @@ 19674-19680 rgb_led_ws281x: bit: "1" 19680-19686 rgb_led_ws281x: bit: "1" 19686-19693 rgb_led_ws281x: bit: "1" +19545-19594 rgb_led_ws281x: g: "f7" +19594-19643 rgb_led_ws281x: r: "00" +19643-19693 rgb_led_ws281x: b: "bf" 19545-19693 rgb_led_ws281x: rgb: "#00f7bf" 19693-19699 rgb_led_ws281x: bit: "1" 19699-19705 rgb_led_ws281x: bit: "1" @@ -2497,6 +2794,9 @@ 19822-19828 rgb_led_ws281x: bit: "1" 19828-19835 rgb_led_ws281x: bit: "1" 19835-19841 rgb_led_ws281x: bit: "0" +19693-19742 rgb_led_ws281x: g: "ef" +19742-19792 rgb_led_ws281x: r: "00" +19792-19841 rgb_led_ws281x: b: "7e" 19693-19841 rgb_led_ws281x: rgb: "#00ef7e" 19841-19847 rgb_led_ws281x: bit: "1" 19847-19854 rgb_led_ws281x: bit: "1" @@ -2522,6 +2822,9 @@ 19970-19977 rgb_led_ws281x: bit: "1" 19977-19983 rgb_led_ws281x: bit: "0" 19983-19989 rgb_led_ws281x: bit: "1" +19841-19890 rgb_led_ws281x: g: "df" +19890-19940 rgb_led_ws281x: r: "00" +19940-19989 rgb_led_ws281x: b: "fd" 19841-19989 rgb_led_ws281x: rgb: "#00dffd" 19989-19995 rgb_led_ws281x: bit: "1" 19995-20002 rgb_led_ws281x: bit: "1" @@ -2547,6 +2850,9 @@ 20119-20125 rgb_led_ws281x: bit: "0" 20125-20131 rgb_led_ws281x: bit: "1" 20131-20137 rgb_led_ws281x: bit: "1" +19989-20039 rgb_led_ws281x: g: "ff" +20039-20088 rgb_led_ws281x: r: "00" +20088-20137 rgb_led_ws281x: b: "fb" 19989-20137 rgb_led_ws281x: rgb: "#00fffb" 20137-20144 rgb_led_ws281x: bit: "1" 20144-20150 rgb_led_ws281x: bit: "0" @@ -2572,6 +2878,9 @@ 20267-20273 rgb_led_ws281x: bit: "1" 20273-20279 rgb_led_ws281x: bit: "1" 20279-20286 rgb_led_ws281x: bit: "1" +20137-20187 rgb_led_ws281x: g: "be" +20187-20236 rgb_led_ws281x: r: "00" +20236-20286 rgb_led_ws281x: b: "f7" 20137-20286 rgb_led_ws281x: rgb: "#00bef7" 20286-20292 rgb_led_ws281x: bit: "0" 20292-20298 rgb_led_ws281x: bit: "1" @@ -2597,6 +2906,9 @@ 20415-20421 rgb_led_ws281x: bit: "1" 20421-20427 rgb_led_ws281x: bit: "1" 20427-20434 rgb_led_ws281x: bit: "1" +20286-20335 rgb_led_ws281x: g: "7d" +20335-20384 rgb_led_ws281x: r: "00" +20384-20434 rgb_led_ws281x: b: "ef" 20286-20434 rgb_led_ws281x: rgb: "#007def" 20434-20440 rgb_led_ws281x: bit: "1" 20440-20446 rgb_led_ws281x: bit: "1" @@ -2622,6 +2934,9 @@ 20563-20569 rgb_led_ws281x: bit: "1" 20569-20575 rgb_led_ws281x: bit: "1" 20575-20582 rgb_led_ws281x: bit: "1" +20434-20483 rgb_led_ws281x: g: "ff" +20483-20532 rgb_led_ws281x: r: "00" +20532-20582 rgb_led_ws281x: b: "ef" 20434-20582 rgb_led_ws281x: rgb: "#00ffef" 20582-20588 rgb_led_ws281x: bit: "1" 20588-20594 rgb_led_ws281x: bit: "1" @@ -2647,6 +2962,9 @@ 20711-20717 rgb_led_ws281x: bit: "1" 20717-20723 rgb_led_ws281x: bit: "1" 20723-20730 rgb_led_ws281x: bit: "1" +20582-20631 rgb_led_ws281x: g: "ff" +20631-20680 rgb_led_ws281x: r: "00" +20680-20730 rgb_led_ws281x: b: "df" 20582-20730 rgb_led_ws281x: rgb: "#00ffdf" 20730-20736 rgb_led_ws281x: bit: "1" 20736-20742 rgb_led_ws281x: bit: "1" @@ -2672,6 +2990,9 @@ 20859-20865 rgb_led_ws281x: bit: "1" 20865-20872 rgb_led_ws281x: bit: "1" 20872-20878 rgb_led_ws281x: bit: "0" +20730-20779 rgb_led_ws281x: g: "f7" +20779-20828 rgb_led_ws281x: r: "00" +20828-20878 rgb_led_ws281x: b: "be" 20730-20878 rgb_led_ws281x: rgb: "#00f7be" 20878-20884 rgb_led_ws281x: bit: "1" 20884-20890 rgb_led_ws281x: bit: "1" @@ -2697,6 +3018,9 @@ 21007-21013 rgb_led_ws281x: bit: "1" 21013-21020 rgb_led_ws281x: bit: "1" 21020-21026 rgb_led_ws281x: bit: "0" +20878-20927 rgb_led_ws281x: g: "ef" +20927-20977 rgb_led_ws281x: r: "00" +20977-21026 rgb_led_ws281x: b: "7e" 20878-21026 rgb_led_ws281x: rgb: "#00ef7e" 21026-21032 rgb_led_ws281x: bit: "1" 21032-21039 rgb_led_ws281x: bit: "1" @@ -2722,6 +3046,9 @@ 21155-21162 rgb_led_ws281x: bit: "1" 21162-21168 rgb_led_ws281x: bit: "0" 21168-21174 rgb_led_ws281x: bit: "1" +21026-21075 rgb_led_ws281x: g: "df" +21075-21125 rgb_led_ws281x: r: "00" +21125-21174 rgb_led_ws281x: b: "fd" 21026-21174 rgb_led_ws281x: rgb: "#00dffd" 21174-21181 rgb_led_ws281x: bit: "1" 21181-21187 rgb_led_ws281x: bit: "0" @@ -2747,6 +3074,9 @@ 21304-21310 rgb_led_ws281x: bit: "0" 21310-21316 rgb_led_ws281x: bit: "1" 21316-21322 rgb_led_ws281x: bit: "1" +21174-21224 rgb_led_ws281x: g: "bf" +21224-21273 rgb_led_ws281x: r: "00" +21273-21322 rgb_led_ws281x: b: "fb" 21174-21322 rgb_led_ws281x: rgb: "#00bffb" 21322-21329 rgb_led_ws281x: bit: "1" 21329-21335 rgb_led_ws281x: bit: "1" @@ -2772,6 +3102,9 @@ 21452-21458 rgb_led_ws281x: bit: "1" 21458-21464 rgb_led_ws281x: bit: "1" 21464-21471 rgb_led_ws281x: bit: "1" +21322-21372 rgb_led_ws281x: g: "fe" +21372-21421 rgb_led_ws281x: r: "00" +21421-21471 rgb_led_ws281x: b: "f7" 21322-21471 rgb_led_ws281x: rgb: "#00fef7" 21471-21477 rgb_led_ws281x: bit: "0" 21477-21483 rgb_led_ws281x: bit: "1" @@ -2797,6 +3130,9 @@ 21600-21606 rgb_led_ws281x: bit: "1" 21606-21612 rgb_led_ws281x: bit: "1" 21612-21619 rgb_led_ws281x: bit: "1" +21471-21520 rgb_led_ws281x: g: "7d" +21520-21569 rgb_led_ws281x: r: "00" +21569-21619 rgb_led_ws281x: b: "ef" 21471-21619 rgb_led_ws281x: rgb: "#007def" 21619-21625 rgb_led_ws281x: bit: "1" 21625-21631 rgb_led_ws281x: bit: "1" @@ -2822,6 +3158,9 @@ 21748-21754 rgb_led_ws281x: bit: "1" 21754-21760 rgb_led_ws281x: bit: "1" 21760-21767 rgb_led_ws281x: bit: "1" +21619-21668 rgb_led_ws281x: g: "ff" +21668-21717 rgb_led_ws281x: r: "00" +21717-21767 rgb_led_ws281x: b: "ef" 21619-21767 rgb_led_ws281x: rgb: "#00ffef" 21767-21773 rgb_led_ws281x: bit: "1" 21773-21779 rgb_led_ws281x: bit: "1" @@ -2847,6 +3186,9 @@ 21896-21902 rgb_led_ws281x: bit: "1" 21902-21908 rgb_led_ws281x: bit: "1" 21908-21915 rgb_led_ws281x: bit: "1" +21767-21816 rgb_led_ws281x: g: "ff" +21816-21865 rgb_led_ws281x: r: "00" +21865-21915 rgb_led_ws281x: b: "df" 21767-21915 rgb_led_ws281x: rgb: "#00ffdf" 21915-21921 rgb_led_ws281x: bit: "1" 21921-21927 rgb_led_ws281x: bit: "1" @@ -2872,6 +3214,9 @@ 22044-22050 rgb_led_ws281x: bit: "1" 22050-22057 rgb_led_ws281x: bit: "1" 22057-22063 rgb_led_ws281x: bit: "0" +21915-21964 rgb_led_ws281x: g: "f7" +21964-22013 rgb_led_ws281x: r: "00" +22013-22063 rgb_led_ws281x: b: "be" 21915-22063 rgb_led_ws281x: rgb: "#00f7be" 22063-22069 rgb_led_ws281x: bit: "1" 22069-22075 rgb_led_ws281x: bit: "1" @@ -2897,6 +3242,9 @@ 22192-22198 rgb_led_ws281x: bit: "1" 22198-22205 rgb_led_ws281x: bit: "1" 22205-22211 rgb_led_ws281x: bit: "1" +22063-22112 rgb_led_ws281x: g: "ef" +22112-22162 rgb_led_ws281x: r: "00" +22162-22211 rgb_led_ws281x: b: "7f" 22063-22211 rgb_led_ws281x: rgb: "#00ef7f" 22211-22217 rgb_led_ws281x: bit: "1" 22217-22224 rgb_led_ws281x: bit: "1" @@ -2922,6 +3270,9 @@ 22340-22347 rgb_led_ws281x: bit: "1" 22347-22353 rgb_led_ws281x: bit: "0" 22353-22359 rgb_led_ws281x: bit: "1" +22211-22260 rgb_led_ws281x: g: "df" +22260-22310 rgb_led_ws281x: r: "00" +22310-22359 rgb_led_ws281x: b: "fd" 22211-22359 rgb_led_ws281x: rgb: "#00dffd" 22359-22366 rgb_led_ws281x: bit: "1" 22366-22372 rgb_led_ws281x: bit: "0" @@ -2947,6 +3298,9 @@ 22489-22495 rgb_led_ws281x: bit: "0" 22495-22501 rgb_led_ws281x: bit: "1" 22501-22507 rgb_led_ws281x: bit: "1" +22359-22409 rgb_led_ws281x: g: "bf" +22409-22458 rgb_led_ws281x: r: "00" +22458-22507 rgb_led_ws281x: b: "fb" 22359-22507 rgb_led_ws281x: rgb: "#00bffb" 22507-22514 rgb_led_ws281x: bit: "1" 22514-22520 rgb_led_ws281x: bit: "1" @@ -2972,6 +3326,9 @@ 22637-22643 rgb_led_ws281x: bit: "1" 22643-22649 rgb_led_ws281x: bit: "1" 22649-22656 rgb_led_ws281x: bit: "1" +22507-22557 rgb_led_ws281x: g: "fe" +22557-22606 rgb_led_ws281x: r: "00" +22606-22656 rgb_led_ws281x: b: "f7" 22507-22656 rgb_led_ws281x: rgb: "#00fef7" 22656-22662 rgb_led_ws281x: bit: "1" 22662-22668 rgb_led_ws281x: bit: "1" @@ -2997,6 +3354,9 @@ 22785-22791 rgb_led_ws281x: bit: "1" 22791-22797 rgb_led_ws281x: bit: "1" 22797-22804 rgb_led_ws281x: bit: "1" +22656-22705 rgb_led_ws281x: g: "fd" +22705-22754 rgb_led_ws281x: r: "00" +22754-22804 rgb_led_ws281x: b: "ef" 22656-22804 rgb_led_ws281x: rgb: "#00fdef" 22804-22810 rgb_led_ws281x: bit: "1" 22810-22816 rgb_led_ws281x: bit: "1" @@ -3022,6 +3382,9 @@ 22933-22939 rgb_led_ws281x: bit: "1" 22939-22945 rgb_led_ws281x: bit: "1" 22945-22952 rgb_led_ws281x: bit: "1" +22804-22853 rgb_led_ws281x: g: "fb" +22853-22902 rgb_led_ws281x: r: "00" +22902-22952 rgb_led_ws281x: b: "df" 22804-22952 rgb_led_ws281x: rgb: "#00fbdf" 22952-22958 rgb_led_ws281x: bit: "1" 22958-22964 rgb_led_ws281x: bit: "1" @@ -3047,6 +3410,9 @@ 23081-23087 rgb_led_ws281x: bit: "1" 23087-23093 rgb_led_ws281x: bit: "1" 23093-23100 rgb_led_ws281x: bit: "1" +22952-23001 rgb_led_ws281x: g: "ff" +23001-23050 rgb_led_ws281x: r: "00" +23050-23100 rgb_led_ws281x: b: "df" 22952-23100 rgb_led_ws281x: rgb: "#00ffdf" 23100-23106 rgb_led_ws281x: bit: "1" 23106-23112 rgb_led_ws281x: bit: "1" @@ -3072,6 +3438,9 @@ 23229-23235 rgb_led_ws281x: bit: "1" 23235-23242 rgb_led_ws281x: bit: "1" 23242-23248 rgb_led_ws281x: bit: "0" +23100-23149 rgb_led_ws281x: g: "f7" +23149-23198 rgb_led_ws281x: r: "00" +23198-23248 rgb_led_ws281x: b: "be" 23100-23248 rgb_led_ws281x: rgb: "#00f7be" 23248-23254 rgb_led_ws281x: bit: "1" 23254-23260 rgb_led_ws281x: bit: "1" @@ -3097,6 +3466,9 @@ 23377-23384 rgb_led_ws281x: bit: "1" 23384-23390 rgb_led_ws281x: bit: "0" 23390-23396 rgb_led_ws281x: bit: "1" +23248-23297 rgb_led_ws281x: g: "ef" +23297-23347 rgb_led_ws281x: r: "00" +23347-23396 rgb_led_ws281x: b: "7d" 23248-23396 rgb_led_ws281x: rgb: "#00ef7d" 23396-23402 rgb_led_ws281x: bit: "1" 23402-23409 rgb_led_ws281x: bit: "1" @@ -3122,6 +3494,9 @@ 23525-23532 rgb_led_ws281x: bit: "1" 23532-23538 rgb_led_ws281x: bit: "1" 23538-23544 rgb_led_ws281x: bit: "1" +23396-23445 rgb_led_ws281x: g: "df" +23445-23495 rgb_led_ws281x: r: "00" +23495-23544 rgb_led_ws281x: b: "ff" 23396-23544 rgb_led_ws281x: rgb: "#00dfff" 23544-23551 rgb_led_ws281x: bit: "1" 23551-23557 rgb_led_ws281x: bit: "0" @@ -3147,6 +3522,9 @@ 23674-23680 rgb_led_ws281x: bit: "0" 23680-23686 rgb_led_ws281x: bit: "1" 23686-23693 rgb_led_ws281x: bit: "1" +23544-23594 rgb_led_ws281x: g: "bf" +23594-23643 rgb_led_ws281x: r: "00" +23643-23693 rgb_led_ws281x: b: "fb" 23544-23693 rgb_led_ws281x: rgb: "#00bffb" 23693-23699 rgb_led_ws281x: bit: "0" 23699-23705 rgb_led_ws281x: bit: "1" @@ -3172,6 +3550,9 @@ 23822-23828 rgb_led_ws281x: bit: "1" 23828-23834 rgb_led_ws281x: bit: "1" 23834-23841 rgb_led_ws281x: bit: "1" +23693-23742 rgb_led_ws281x: g: "7e" +23742-23791 rgb_led_ws281x: r: "00" +23791-23841 rgb_led_ws281x: b: "f7" 23693-23841 rgb_led_ws281x: rgb: "#007ef7" 23841-23847 rgb_led_ws281x: bit: "1" 23847-23853 rgb_led_ws281x: bit: "1" @@ -3197,6 +3578,9 @@ 23970-23976 rgb_led_ws281x: bit: "1" 23976-23982 rgb_led_ws281x: bit: "1" 23982-23989 rgb_led_ws281x: bit: "1" +23841-23890 rgb_led_ws281x: g: "fd" +23890-23939 rgb_led_ws281x: r: "00" +23939-23989 rgb_led_ws281x: b: "ef" 23841-23989 rgb_led_ws281x: rgb: "#00fdef" 23989-23995 rgb_led_ws281x: bit: "1" 23995-24001 rgb_led_ws281x: bit: "1" @@ -3222,6 +3606,9 @@ 24118-24124 rgb_led_ws281x: bit: "1" 24124-24130 rgb_led_ws281x: bit: "1" 24130-24137 rgb_led_ws281x: bit: "1" +23989-24038 rgb_led_ws281x: g: "fb" +24038-24087 rgb_led_ws281x: r: "00" +24087-24137 rgb_led_ws281x: b: "df" 23989-24137 rgb_led_ws281x: rgb: "#00fbdf" 24137-24143 rgb_led_ws281x: bit: "1" 24143-24149 rgb_led_ws281x: bit: "1" @@ -3247,6 +3634,9 @@ 24266-24272 rgb_led_ws281x: bit: "1" 24272-24278 rgb_led_ws281x: bit: "1" 24278-24285 rgb_led_ws281x: bit: "1" +24137-24186 rgb_led_ws281x: g: "ff" +24186-24235 rgb_led_ws281x: r: "00" +24235-24285 rgb_led_ws281x: b: "ff" 24137-24285 rgb_led_ws281x: rgb: "#00ffff" 24285-24291 rgb_led_ws281x: bit: "1" 24291-24297 rgb_led_ws281x: bit: "1" @@ -3272,6 +3662,9 @@ 24414-24420 rgb_led_ws281x: bit: "1" 24420-24427 rgb_led_ws281x: bit: "1" 24427-24433 rgb_led_ws281x: bit: "0" +24285-24334 rgb_led_ws281x: g: "ff" +24334-24383 rgb_led_ws281x: r: "00" +24383-24433 rgb_led_ws281x: b: "be" 24285-24433 rgb_led_ws281x: rgb: "#00ffbe" 24433-24439 rgb_led_ws281x: bit: "1" 24439-24445 rgb_led_ws281x: bit: "1" @@ -3297,6 +3690,9 @@ 24562-24569 rgb_led_ws281x: bit: "1" 24569-24575 rgb_led_ws281x: bit: "0" 24575-24581 rgb_led_ws281x: bit: "1" +24433-24482 rgb_led_ws281x: g: "ef" +24482-24532 rgb_led_ws281x: r: "00" +24532-24581 rgb_led_ws281x: b: "7d" 24433-24581 rgb_led_ws281x: rgb: "#00ef7d" 24581-24587 rgb_led_ws281x: bit: "1" 24587-24594 rgb_led_ws281x: bit: "1" @@ -3322,6 +3718,9 @@ 24710-24717 rgb_led_ws281x: bit: "1" 24717-24723 rgb_led_ws281x: bit: "1" 24723-24729 rgb_led_ws281x: bit: "1" +24581-24630 rgb_led_ws281x: g: "df" +24630-24680 rgb_led_ws281x: r: "00" +24680-24729 rgb_led_ws281x: b: "ff" 24581-24729 rgb_led_ws281x: rgb: "#00dfff" 24729-24736 rgb_led_ws281x: bit: "1" 24736-24742 rgb_led_ws281x: bit: "0" @@ -3347,6 +3746,9 @@ 24859-24865 rgb_led_ws281x: bit: "1" 24865-24871 rgb_led_ws281x: bit: "1" 24871-24878 rgb_led_ws281x: bit: "1" +24729-24779 rgb_led_ws281x: g: "bf" +24779-24828 rgb_led_ws281x: r: "00" +24828-24878 rgb_led_ws281x: b: "ff" 24729-24878 rgb_led_ws281x: rgb: "#00bfff" 24878-24884 rgb_led_ws281x: bit: "0" 24884-24890 rgb_led_ws281x: bit: "1" @@ -3372,6 +3774,9 @@ 25007-25013 rgb_led_ws281x: bit: "1" 25013-25019 rgb_led_ws281x: bit: "1" 25019-25026 rgb_led_ws281x: bit: "1" +24878-24927 rgb_led_ws281x: g: "7e" +24927-24976 rgb_led_ws281x: r: "00" +24976-25026 rgb_led_ws281x: b: "f7" 24878-25026 rgb_led_ws281x: rgb: "#007ef7" 25026-25032 rgb_led_ws281x: bit: "1" 25032-25038 rgb_led_ws281x: bit: "1" @@ -3397,6 +3802,9 @@ 25155-25161 rgb_led_ws281x: bit: "1" 25161-25167 rgb_led_ws281x: bit: "1" 25167-25174 rgb_led_ws281x: bit: "1" +25026-25075 rgb_led_ws281x: g: "fd" +25075-25124 rgb_led_ws281x: r: "00" +25124-25174 rgb_led_ws281x: b: "ef" 25026-25174 rgb_led_ws281x: rgb: "#00fdef" 25174-25180 rgb_led_ws281x: bit: "1" 25180-25186 rgb_led_ws281x: bit: "1" @@ -3422,6 +3830,9 @@ 25303-25309 rgb_led_ws281x: bit: "1" 25309-25315 rgb_led_ws281x: bit: "1" 25315-25322 rgb_led_ws281x: bit: "1" +25174-25223 rgb_led_ws281x: g: "fb" +25223-25272 rgb_led_ws281x: r: "00" +25272-25322 rgb_led_ws281x: b: "df" 25174-25322 rgb_led_ws281x: rgb: "#00fbdf" 25322-25328 rgb_led_ws281x: bit: "1" 25328-25334 rgb_led_ws281x: bit: "1" @@ -3447,6 +3858,9 @@ 25451-25457 rgb_led_ws281x: bit: "1" 25457-25463 rgb_led_ws281x: bit: "1" 25463-25470 rgb_led_ws281x: bit: "1" +25322-25371 rgb_led_ws281x: g: "f7" +25371-25420 rgb_led_ws281x: r: "00" +25420-25470 rgb_led_ws281x: b: "bf" 25322-25470 rgb_led_ws281x: rgb: "#00f7bf" 25470-25476 rgb_led_ws281x: bit: "1" 25476-25482 rgb_led_ws281x: bit: "1" @@ -3472,6 +3886,9 @@ 25599-25605 rgb_led_ws281x: bit: "1" 25605-25612 rgb_led_ws281x: bit: "1" 25612-25618 rgb_led_ws281x: bit: "0" +25470-25519 rgb_led_ws281x: g: "ff" +25519-25568 rgb_led_ws281x: r: "00" +25568-25618 rgb_led_ws281x: b: "fe" 25470-25618 rgb_led_ws281x: rgb: "#00fffe" 25618-25624 rgb_led_ws281x: bit: "1" 25624-25630 rgb_led_ws281x: bit: "1" @@ -3497,6 +3914,9 @@ 25747-25754 rgb_led_ws281x: bit: "1" 25754-25760 rgb_led_ws281x: bit: "0" 25760-25766 rgb_led_ws281x: bit: "1" +25618-25667 rgb_led_ws281x: g: "ef" +25667-25717 rgb_led_ws281x: r: "00" +25717-25766 rgb_led_ws281x: b: "7d" 25618-25766 rgb_led_ws281x: rgb: "#00ef7d" 25766-25772 rgb_led_ws281x: bit: "1" 25772-25779 rgb_led_ws281x: bit: "1" @@ -3522,6 +3942,9 @@ 25895-25902 rgb_led_ws281x: bit: "1" 25902-25908 rgb_led_ws281x: bit: "1" 25908-25914 rgb_led_ws281x: bit: "1" +25766-25816 rgb_led_ws281x: g: "df" +25816-25865 rgb_led_ws281x: r: "00" +25865-25914 rgb_led_ws281x: b: "ff" 25766-25914 rgb_led_ws281x: rgb: "#00dfff" 25914-25921 rgb_led_ws281x: bit: "1" 25921-25927 rgb_led_ws281x: bit: "0" @@ -3547,6 +3970,9 @@ 26044-26050 rgb_led_ws281x: bit: "1" 26050-26056 rgb_led_ws281x: bit: "1" 26056-26063 rgb_led_ws281x: bit: "1" +25914-25964 rgb_led_ws281x: g: "be" +25964-26013 rgb_led_ws281x: r: "00" +26013-26063 rgb_led_ws281x: b: "ff" 25914-26063 rgb_led_ws281x: rgb: "#00beff" 26063-26069 rgb_led_ws281x: bit: "0" 26069-26075 rgb_led_ws281x: bit: "1" @@ -3572,6 +3998,9 @@ 26192-26198 rgb_led_ws281x: bit: "1" 26198-26204 rgb_led_ws281x: bit: "1" 26204-26211 rgb_led_ws281x: bit: "1" +26063-26112 rgb_led_ws281x: g: "7f" +26112-26161 rgb_led_ws281x: r: "00" +26161-26211 rgb_led_ws281x: b: "f7" 26063-26211 rgb_led_ws281x: rgb: "#007ff7" 26211-26217 rgb_led_ws281x: bit: "1" 26217-26223 rgb_led_ws281x: bit: "1" @@ -3597,6 +4026,9 @@ 26340-26346 rgb_led_ws281x: bit: "1" 26346-26352 rgb_led_ws281x: bit: "1" 26352-26359 rgb_led_ws281x: bit: "1" +26211-26260 rgb_led_ws281x: g: "fd" +26260-26309 rgb_led_ws281x: r: "00" +26309-26359 rgb_led_ws281x: b: "ef" 26211-26359 rgb_led_ws281x: rgb: "#00fdef" 26359-26365 rgb_led_ws281x: bit: "1" 26365-26371 rgb_led_ws281x: bit: "1" @@ -3622,6 +4054,9 @@ 26488-26494 rgb_led_ws281x: bit: "1" 26494-26500 rgb_led_ws281x: bit: "1" 26500-26507 rgb_led_ws281x: bit: "1" +26359-26408 rgb_led_ws281x: g: "fb" +26408-26457 rgb_led_ws281x: r: "00" +26457-26507 rgb_led_ws281x: b: "df" 26359-26507 rgb_led_ws281x: rgb: "#00fbdf" 26507-26513 rgb_led_ws281x: bit: "1" 26513-26519 rgb_led_ws281x: bit: "1" @@ -3647,6 +4082,9 @@ 26636-26642 rgb_led_ws281x: bit: "1" 26642-26648 rgb_led_ws281x: bit: "1" 26648-26655 rgb_led_ws281x: bit: "1" +26507-26556 rgb_led_ws281x: g: "f7" +26556-26605 rgb_led_ws281x: r: "00" +26605-26655 rgb_led_ws281x: b: "bf" 26507-26655 rgb_led_ws281x: rgb: "#00f7bf" 26655-26661 rgb_led_ws281x: bit: "1" 26661-26667 rgb_led_ws281x: bit: "1" @@ -3672,6 +4110,9 @@ 26784-26790 rgb_led_ws281x: bit: "1" 26790-26797 rgb_led_ws281x: bit: "1" 26797-26803 rgb_led_ws281x: bit: "0" +26655-26704 rgb_led_ws281x: g: "ef" +26704-26754 rgb_led_ws281x: r: "00" +26754-26803 rgb_led_ws281x: b: "7e" 26655-26803 rgb_led_ws281x: rgb: "#00ef7e" 26803-26809 rgb_led_ws281x: bit: "1" 26809-26815 rgb_led_ws281x: bit: "1" @@ -3697,6 +4138,9 @@ 26932-26939 rgb_led_ws281x: bit: "1" 26939-26945 rgb_led_ws281x: bit: "0" 26945-26951 rgb_led_ws281x: bit: "1" +26803-26852 rgb_led_ws281x: g: "ff" +26852-26902 rgb_led_ws281x: r: "00" +26902-26951 rgb_led_ws281x: b: "7d" 26803-26951 rgb_led_ws281x: rgb: "#00ff7d" 26951-26957 rgb_led_ws281x: bit: "1" 26957-26964 rgb_led_ws281x: bit: "1" @@ -3722,6 +4166,9 @@ 27081-27087 rgb_led_ws281x: bit: "0" 27087-27093 rgb_led_ws281x: bit: "1" 27093-27099 rgb_led_ws281x: bit: "1" +26951-27001 rgb_led_ws281x: g: "df" +27001-27050 rgb_led_ws281x: r: "00" +27050-27099 rgb_led_ws281x: b: "fb" 26951-27099 rgb_led_ws281x: rgb: "#00dffb" 27099-27106 rgb_led_ws281x: bit: "1" 27106-27112 rgb_led_ws281x: bit: "0" @@ -3747,6 +4194,9 @@ 27229-27235 rgb_led_ws281x: bit: "1" 27235-27241 rgb_led_ws281x: bit: "1" 27241-27248 rgb_led_ws281x: bit: "1" +27099-27149 rgb_led_ws281x: g: "be" +27149-27198 rgb_led_ws281x: r: "00" +27198-27248 rgb_led_ws281x: b: "ff" 27099-27248 rgb_led_ws281x: rgb: "#00beff" 27248-27254 rgb_led_ws281x: bit: "0" 27254-27260 rgb_led_ws281x: bit: "1" @@ -3772,6 +4222,9 @@ 27377-27383 rgb_led_ws281x: bit: "1" 27383-27389 rgb_led_ws281x: bit: "1" 27389-27396 rgb_led_ws281x: bit: "1" +27248-27297 rgb_led_ws281x: g: "7f" +27297-27346 rgb_led_ws281x: r: "00" +27346-27396 rgb_led_ws281x: b: "f7" 27248-27396 rgb_led_ws281x: rgb: "#007ff7" 27396-27402 rgb_led_ws281x: bit: "1" 27402-27408 rgb_led_ws281x: bit: "1" @@ -3797,6 +4250,9 @@ 27525-27531 rgb_led_ws281x: bit: "1" 27531-27537 rgb_led_ws281x: bit: "1" 27537-27544 rgb_led_ws281x: bit: "1" +27396-27445 rgb_led_ws281x: g: "fd" +27445-27494 rgb_led_ws281x: r: "00" +27494-27544 rgb_led_ws281x: b: "ef" 27396-27544 rgb_led_ws281x: rgb: "#00fdef" 27544-27550 rgb_led_ws281x: bit: "1" 27550-27556 rgb_led_ws281x: bit: "1" @@ -3822,6 +4278,9 @@ 27673-27679 rgb_led_ws281x: bit: "1" 27679-27685 rgb_led_ws281x: bit: "1" 27685-27692 rgb_led_ws281x: bit: "1" +27544-27593 rgb_led_ws281x: g: "fb" +27593-27642 rgb_led_ws281x: r: "00" +27642-27692 rgb_led_ws281x: b: "df" 27544-27692 rgb_led_ws281x: rgb: "#00fbdf" 27692-27698 rgb_led_ws281x: bit: "1" 27698-27704 rgb_led_ws281x: bit: "1" @@ -3847,6 +4306,9 @@ 27821-27827 rgb_led_ws281x: bit: "1" 27827-27833 rgb_led_ws281x: bit: "1" 27833-27840 rgb_led_ws281x: bit: "1" +27692-27741 rgb_led_ws281x: g: "f7" +27741-27790 rgb_led_ws281x: r: "00" +27790-27840 rgb_led_ws281x: b: "bf" 27692-27840 rgb_led_ws281x: rgb: "#00f7bf" 27840-27846 rgb_led_ws281x: bit: "1" 27846-27852 rgb_led_ws281x: bit: "1" @@ -3872,6 +4334,9 @@ 27969-27975 rgb_led_ws281x: bit: "1" 27975-27982 rgb_led_ws281x: bit: "1" 27982-27988 rgb_led_ws281x: bit: "0" +27840-27889 rgb_led_ws281x: g: "ef" +27889-27939 rgb_led_ws281x: r: "00" +27939-27988 rgb_led_ws281x: b: "7e" 27840-27988 rgb_led_ws281x: rgb: "#00ef7e" 27988-27994 rgb_led_ws281x: bit: "1" 27994-28000 rgb_led_ws281x: bit: "1" @@ -3897,6 +4362,9 @@ 28117-28124 rgb_led_ws281x: bit: "1" 28124-28130 rgb_led_ws281x: bit: "0" 28130-28136 rgb_led_ws281x: bit: "1" +27988-28037 rgb_led_ws281x: g: "ff" +28037-28087 rgb_led_ws281x: r: "00" +28087-28136 rgb_led_ws281x: b: "fd" 27988-28136 rgb_led_ws281x: rgb: "#00fffd" 28136-28142 rgb_led_ws281x: bit: "1" 28142-28149 rgb_led_ws281x: bit: "1" @@ -3922,6 +4390,9 @@ 28266-28272 rgb_led_ws281x: bit: "0" 28272-28278 rgb_led_ws281x: bit: "1" 28278-28284 rgb_led_ws281x: bit: "1" +28136-28186 rgb_led_ws281x: g: "ff" +28186-28235 rgb_led_ws281x: r: "00" +28235-28284 rgb_led_ws281x: b: "fb" 28136-28284 rgb_led_ws281x: rgb: "#00fffb" 28284-28291 rgb_led_ws281x: bit: "1" 28291-28297 rgb_led_ws281x: bit: "0" @@ -3947,6 +4418,9 @@ 28414-28420 rgb_led_ws281x: bit: "1" 28420-28426 rgb_led_ws281x: bit: "1" 28426-28433 rgb_led_ws281x: bit: "1" +28284-28334 rgb_led_ws281x: g: "be" +28334-28383 rgb_led_ws281x: r: "00" +28383-28433 rgb_led_ws281x: b: "ff" 28284-28433 rgb_led_ws281x: rgb: "#00beff" 28433-28439 rgb_led_ws281x: bit: "0" 28439-28445 rgb_led_ws281x: bit: "1" @@ -3972,6 +4446,9 @@ 28562-28568 rgb_led_ws281x: bit: "1" 28568-28574 rgb_led_ws281x: bit: "1" 28574-28581 rgb_led_ws281x: bit: "1" +28433-28482 rgb_led_ws281x: g: "7d" +28482-28531 rgb_led_ws281x: r: "00" +28531-28581 rgb_led_ws281x: b: "f7" 28433-28581 rgb_led_ws281x: rgb: "#007df7" 28581-28587 rgb_led_ws281x: bit: "1" 28587-28593 rgb_led_ws281x: bit: "1" @@ -3997,6 +4474,9 @@ 28710-28716 rgb_led_ws281x: bit: "1" 28716-28722 rgb_led_ws281x: bit: "1" 28722-28729 rgb_led_ws281x: bit: "1" +28581-28630 rgb_led_ws281x: g: "ff" +28630-28679 rgb_led_ws281x: r: "00" +28679-28729 rgb_led_ws281x: b: "ef" 28581-28729 rgb_led_ws281x: rgb: "#00ffef" 28729-28735 rgb_led_ws281x: bit: "1" 28735-28741 rgb_led_ws281x: bit: "1" @@ -4022,6 +4502,9 @@ 28858-28864 rgb_led_ws281x: bit: "1" 28864-28870 rgb_led_ws281x: bit: "1" 28870-28877 rgb_led_ws281x: bit: "1" +28729-28778 rgb_led_ws281x: g: "fb" +28778-28827 rgb_led_ws281x: r: "00" +28827-28877 rgb_led_ws281x: b: "df" 28729-28877 rgb_led_ws281x: rgb: "#00fbdf" 28877-28883 rgb_led_ws281x: bit: "1" 28883-28889 rgb_led_ws281x: bit: "1" @@ -4047,6 +4530,9 @@ 29006-29012 rgb_led_ws281x: bit: "1" 29012-29018 rgb_led_ws281x: bit: "1" 29018-29025 rgb_led_ws281x: bit: "1" +28877-28926 rgb_led_ws281x: g: "f7" +28926-28975 rgb_led_ws281x: r: "00" +28975-29025 rgb_led_ws281x: b: "bf" 28877-29025 rgb_led_ws281x: rgb: "#00f7bf" 29025-29031 rgb_led_ws281x: bit: "1" 29031-29037 rgb_led_ws281x: bit: "1" @@ -4072,6 +4558,9 @@ 29154-29160 rgb_led_ws281x: bit: "1" 29160-29167 rgb_led_ws281x: bit: "1" 29167-29173 rgb_led_ws281x: bit: "0" +29025-29074 rgb_led_ws281x: g: "ef" +29074-29124 rgb_led_ws281x: r: "00" +29124-29173 rgb_led_ws281x: b: "7e" 29025-29173 rgb_led_ws281x: rgb: "#00ef7e" 29173-29179 rgb_led_ws281x: bit: "1" 29179-29186 rgb_led_ws281x: bit: "1" @@ -4097,6 +4586,9 @@ 29302-29309 rgb_led_ws281x: bit: "1" 29309-29315 rgb_led_ws281x: bit: "0" 29315-29321 rgb_led_ws281x: bit: "1" +29173-29222 rgb_led_ws281x: g: "df" +29222-29272 rgb_led_ws281x: r: "00" +29272-29321 rgb_led_ws281x: b: "fd" 29173-29321 rgb_led_ws281x: rgb: "#00dffd" 29321-29327 rgb_led_ws281x: bit: "1" 29327-29334 rgb_led_ws281x: bit: "1" @@ -4122,6 +4614,9 @@ 29451-29457 rgb_led_ws281x: bit: "0" 29457-29463 rgb_led_ws281x: bit: "1" 29463-29469 rgb_led_ws281x: bit: "1" +29321-29371 rgb_led_ws281x: g: "ff" +29371-29420 rgb_led_ws281x: r: "00" +29420-29469 rgb_led_ws281x: b: "fb" 29321-29469 rgb_led_ws281x: rgb: "#00fffb" 29469-29476 rgb_led_ws281x: bit: "1" 29476-29482 rgb_led_ws281x: bit: "0" @@ -4147,6 +4642,9 @@ 29599-29605 rgb_led_ws281x: bit: "1" 29605-29611 rgb_led_ws281x: bit: "1" 29611-29618 rgb_led_ws281x: bit: "1" +29469-29519 rgb_led_ws281x: g: "be" +29519-29568 rgb_led_ws281x: r: "00" +29568-29618 rgb_led_ws281x: b: "f7" 29469-29618 rgb_led_ws281x: rgb: "#00bef7" 29618-29624 rgb_led_ws281x: bit: "0" 29624-29630 rgb_led_ws281x: bit: "1" @@ -4172,6 +4670,9 @@ 29747-29753 rgb_led_ws281x: bit: "1" 29753-29759 rgb_led_ws281x: bit: "1" 29759-29766 rgb_led_ws281x: bit: "1" +29618-29667 rgb_led_ws281x: g: "7d" +29667-29716 rgb_led_ws281x: r: "00" +29716-29766 rgb_led_ws281x: b: "ff" 29618-29766 rgb_led_ws281x: rgb: "#007dff" 29766-29772 rgb_led_ws281x: bit: "1" 29772-29778 rgb_led_ws281x: bit: "1" @@ -4197,6 +4698,9 @@ 29895-29901 rgb_led_ws281x: bit: "1" 29901-29907 rgb_led_ws281x: bit: "1" 29907-29914 rgb_led_ws281x: bit: "1" +29766-29815 rgb_led_ws281x: g: "ff" +29815-29864 rgb_led_ws281x: r: "00" +29864-29914 rgb_led_ws281x: b: "ef" 29766-29914 rgb_led_ws281x: rgb: "#00ffef" 29914-29920 rgb_led_ws281x: bit: "1" 29920-29926 rgb_led_ws281x: bit: "1" @@ -4222,6 +4726,9 @@ 30043-30049 rgb_led_ws281x: bit: "1" 30049-30055 rgb_led_ws281x: bit: "1" 30055-30062 rgb_led_ws281x: bit: "1" +29914-29963 rgb_led_ws281x: g: "fb" +29963-30012 rgb_led_ws281x: r: "00" +30012-30062 rgb_led_ws281x: b: "df" 29914-30062 rgb_led_ws281x: rgb: "#00fbdf" 30062-30068 rgb_led_ws281x: bit: "1" 30068-30074 rgb_led_ws281x: bit: "1" @@ -4247,6 +4754,9 @@ 30191-30197 rgb_led_ws281x: bit: "1" 30197-30204 rgb_led_ws281x: bit: "1" 30204-30210 rgb_led_ws281x: bit: "0" +30062-30111 rgb_led_ws281x: g: "f7" +30111-30160 rgb_led_ws281x: r: "00" +30160-30210 rgb_led_ws281x: b: "be" 30062-30210 rgb_led_ws281x: rgb: "#00f7be" 30210-30216 rgb_led_ws281x: bit: "1" 30216-30222 rgb_led_ws281x: bit: "1" @@ -4272,6 +4782,9 @@ 30339-30345 rgb_led_ws281x: bit: "1" 30345-30352 rgb_led_ws281x: bit: "1" 30352-30358 rgb_led_ws281x: bit: "0" +30210-30259 rgb_led_ws281x: g: "ef" +30259-30309 rgb_led_ws281x: r: "00" +30309-30358 rgb_led_ws281x: b: "7e" 30210-30358 rgb_led_ws281x: rgb: "#00ef7e" 30358-30364 rgb_led_ws281x: bit: "1" 30364-30371 rgb_led_ws281x: bit: "1" @@ -4297,6 +4810,9 @@ 30487-30494 rgb_led_ws281x: bit: "1" 30494-30500 rgb_led_ws281x: bit: "0" 30500-30506 rgb_led_ws281x: bit: "1" +30358-30407 rgb_led_ws281x: g: "df" +30407-30457 rgb_led_ws281x: r: "00" +30457-30506 rgb_led_ws281x: b: "fd" 30358-30506 rgb_led_ws281x: rgb: "#00dffd" 30506-30512 rgb_led_ws281x: bit: "1" 30512-30519 rgb_led_ws281x: bit: "1" @@ -4322,6 +4838,9 @@ 30636-30642 rgb_led_ws281x: bit: "0" 30642-30648 rgb_led_ws281x: bit: "1" 30648-30654 rgb_led_ws281x: bit: "1" +30506-30556 rgb_led_ws281x: g: "ff" +30556-30605 rgb_led_ws281x: r: "00" +30605-30654 rgb_led_ws281x: b: "fb" 30506-30654 rgb_led_ws281x: rgb: "#00fffb" 30654-30661 rgb_led_ws281x: bit: "1" 30661-30667 rgb_led_ws281x: bit: "1" @@ -4347,6 +4866,9 @@ 30784-30790 rgb_led_ws281x: bit: "1" 30790-30796 rgb_led_ws281x: bit: "1" 30796-30803 rgb_led_ws281x: bit: "1" +30654-30704 rgb_led_ws281x: g: "fe" +30704-30753 rgb_led_ws281x: r: "00" +30753-30803 rgb_led_ws281x: b: "f7" 30654-30803 rgb_led_ws281x: rgb: "#00fef7" 30803-30809 rgb_led_ws281x: bit: "0" 30809-30815 rgb_led_ws281x: bit: "1" @@ -4372,6 +4894,9 @@ 30932-30938 rgb_led_ws281x: bit: "1" 30938-30944 rgb_led_ws281x: bit: "1" 30944-30951 rgb_led_ws281x: bit: "1" +30803-30852 rgb_led_ws281x: g: "7d" +30852-30901 rgb_led_ws281x: r: "00" +30901-30951 rgb_led_ws281x: b: "ef" 30803-30951 rgb_led_ws281x: rgb: "#007def" 30951-30957 rgb_led_ws281x: bit: "1" 30957-30963 rgb_led_ws281x: bit: "1" @@ -4397,6 +4922,9 @@ 31080-31086 rgb_led_ws281x: bit: "1" 31086-31092 rgb_led_ws281x: bit: "1" 31092-31099 rgb_led_ws281x: bit: "1" +30951-31000 rgb_led_ws281x: g: "ff" +31000-31049 rgb_led_ws281x: r: "00" +31049-31099 rgb_led_ws281x: b: "ff" 30951-31099 rgb_led_ws281x: rgb: "#00ffff" 31099-31105 rgb_led_ws281x: bit: "1" 31105-31111 rgb_led_ws281x: bit: "1" @@ -4422,6 +4950,9 @@ 31228-31234 rgb_led_ws281x: bit: "1" 31234-31240 rgb_led_ws281x: bit: "1" 31240-31247 rgb_led_ws281x: bit: "1" +31099-31148 rgb_led_ws281x: g: "ff" +31148-31197 rgb_led_ws281x: r: "00" +31197-31247 rgb_led_ws281x: b: "df" 31099-31247 rgb_led_ws281x: rgb: "#00ffdf" 31247-31253 rgb_led_ws281x: bit: "1" 31253-31259 rgb_led_ws281x: bit: "1" @@ -4447,6 +4978,9 @@ 31376-31382 rgb_led_ws281x: bit: "1" 31382-31389 rgb_led_ws281x: bit: "1" 31389-31395 rgb_led_ws281x: bit: "0" +31247-31296 rgb_led_ws281x: g: "f7" +31296-31345 rgb_led_ws281x: r: "00" +31345-31395 rgb_led_ws281x: b: "be" 31247-31395 rgb_led_ws281x: rgb: "#00f7be" 31395-31401 rgb_led_ws281x: bit: "1" 31401-31407 rgb_led_ws281x: bit: "1" @@ -4472,6 +5006,9 @@ 31524-31530 rgb_led_ws281x: bit: "1" 31530-31537 rgb_led_ws281x: bit: "1" 31537-31543 rgb_led_ws281x: bit: "1" +31395-31444 rgb_led_ws281x: g: "ef" +31444-31494 rgb_led_ws281x: r: "00" +31494-31543 rgb_led_ws281x: b: "7f" 31395-31543 rgb_led_ws281x: rgb: "#00ef7f" 31543-31549 rgb_led_ws281x: bit: "1" 31549-31556 rgb_led_ws281x: bit: "1" @@ -4497,6 +5034,9 @@ 31672-31679 rgb_led_ws281x: bit: "1" 31679-31685 rgb_led_ws281x: bit: "0" 31685-31691 rgb_led_ws281x: bit: "1" +31543-31592 rgb_led_ws281x: g: "df" +31592-31642 rgb_led_ws281x: r: "00" +31642-31691 rgb_led_ws281x: b: "fd" 31543-31691 rgb_led_ws281x: rgb: "#00dffd" 31691-31698 rgb_led_ws281x: bit: "1" 31698-31704 rgb_led_ws281x: bit: "0" @@ -4522,6 +5062,9 @@ 31821-31827 rgb_led_ws281x: bit: "0" 31827-31833 rgb_led_ws281x: bit: "1" 31833-31839 rgb_led_ws281x: bit: "1" +31691-31741 rgb_led_ws281x: g: "bf" +31741-31790 rgb_led_ws281x: r: "00" +31790-31839 rgb_led_ws281x: b: "fb" 31691-31839 rgb_led_ws281x: rgb: "#00bffb" 31839-31846 rgb_led_ws281x: bit: "1" 31846-31852 rgb_led_ws281x: bit: "1" @@ -4547,6 +5090,9 @@ 31969-31975 rgb_led_ws281x: bit: "1" 31975-31981 rgb_led_ws281x: bit: "1" 31981-31988 rgb_led_ws281x: bit: "1" +31839-31889 rgb_led_ws281x: g: "fe" +31889-31938 rgb_led_ws281x: r: "00" +31938-31988 rgb_led_ws281x: b: "f7" 31839-31988 rgb_led_ws281x: rgb: "#00fef7" 31988-31994 rgb_led_ws281x: bit: "1" 31994-32000 rgb_led_ws281x: bit: "1" @@ -4572,6 +5118,9 @@ 32117-32123 rgb_led_ws281x: bit: "1" 32123-32129 rgb_led_ws281x: bit: "1" 32129-32136 rgb_led_ws281x: bit: "1" +31988-32037 rgb_led_ws281x: g: "fd" +32037-32086 rgb_led_ws281x: r: "00" +32086-32136 rgb_led_ws281x: b: "ef" 31988-32136 rgb_led_ws281x: rgb: "#00fdef" 32136-32142 rgb_led_ws281x: bit: "1" 32142-32148 rgb_led_ws281x: bit: "1" @@ -4597,6 +5146,9 @@ 32265-32271 rgb_led_ws281x: bit: "1" 32271-32277 rgb_led_ws281x: bit: "1" 32277-32284 rgb_led_ws281x: bit: "1" +32136-32185 rgb_led_ws281x: g: "fb" +32185-32234 rgb_led_ws281x: r: "00" +32234-32284 rgb_led_ws281x: b: "df" 32136-32284 rgb_led_ws281x: rgb: "#00fbdf" 32284-32290 rgb_led_ws281x: bit: "1" 32290-32296 rgb_led_ws281x: bit: "1" @@ -4622,6 +5174,9 @@ 32413-32419 rgb_led_ws281x: bit: "1" 32419-32425 rgb_led_ws281x: bit: "1" 32425-32432 rgb_led_ws281x: bit: "1" +32284-32333 rgb_led_ws281x: g: "ff" +32333-32382 rgb_led_ws281x: r: "00" +32382-32432 rgb_led_ws281x: b: "df" 32284-32432 rgb_led_ws281x: rgb: "#00ffdf" 32432-32438 rgb_led_ws281x: bit: "1" 32438-32444 rgb_led_ws281x: bit: "1" @@ -4647,6 +5202,9 @@ 32561-32567 rgb_led_ws281x: bit: "1" 32567-32574 rgb_led_ws281x: bit: "1" 32574-32580 rgb_led_ws281x: bit: "0" +32432-32481 rgb_led_ws281x: g: "f7" +32481-32530 rgb_led_ws281x: r: "00" +32530-32580 rgb_led_ws281x: b: "be" 32432-32580 rgb_led_ws281x: rgb: "#00f7be" 32580-32586 rgb_led_ws281x: bit: "1" 32586-32592 rgb_led_ws281x: bit: "1" @@ -4672,6 +5230,9 @@ 32709-32715 rgb_led_ws281x: bit: "1" 32715-32722 rgb_led_ws281x: bit: "1" 32722-32728 rgb_led_ws281x: bit: "1" +32580-32629 rgb_led_ws281x: g: "ef" +32629-32679 rgb_led_ws281x: r: "00" +32679-32728 rgb_led_ws281x: b: "7f" 32580-32728 rgb_led_ws281x: rgb: "#00ef7f" 32728-32734 rgb_led_ws281x: bit: "1" 32734-32741 rgb_led_ws281x: bit: "1" @@ -4697,6 +5258,9 @@ 32857-32864 rgb_led_ws281x: bit: "1" 32864-32870 rgb_led_ws281x: bit: "0" 32870-32876 rgb_led_ws281x: bit: "1" +32728-32777 rgb_led_ws281x: g: "df" +32777-32827 rgb_led_ws281x: r: "00" +32827-32876 rgb_led_ws281x: b: "fd" 32728-32876 rgb_led_ws281x: rgb: "#00dffd" 32876-32883 rgb_led_ws281x: bit: "1" 32883-32889 rgb_led_ws281x: bit: "0" @@ -4722,6 +5286,9 @@ 33006-33012 rgb_led_ws281x: bit: "0" 33012-33018 rgb_led_ws281x: bit: "1" 33018-33025 rgb_led_ws281x: bit: "1" +32876-32926 rgb_led_ws281x: g: "bf" +32926-32975 rgb_led_ws281x: r: "00" +32975-33025 rgb_led_ws281x: b: "fb" 32876-33025 rgb_led_ws281x: rgb: "#00bffb" 33025-33031 rgb_led_ws281x: bit: "0" 33031-33037 rgb_led_ws281x: bit: "1" @@ -4747,6 +5314,9 @@ 33154-33160 rgb_led_ws281x: bit: "1" 33160-33166 rgb_led_ws281x: bit: "1" 33166-33173 rgb_led_ws281x: bit: "1" +33025-33074 rgb_led_ws281x: g: "7e" +33074-33123 rgb_led_ws281x: r: "00" +33123-33173 rgb_led_ws281x: b: "f7" 33025-33173 rgb_led_ws281x: rgb: "#007ef7" 33173-33179 rgb_led_ws281x: bit: "1" 33179-33185 rgb_led_ws281x: bit: "1" @@ -4772,6 +5342,9 @@ 33302-33308 rgb_led_ws281x: bit: "1" 33308-33314 rgb_led_ws281x: bit: "1" 33314-33321 rgb_led_ws281x: bit: "1" +33173-33222 rgb_led_ws281x: g: "fd" +33222-33271 rgb_led_ws281x: r: "00" +33271-33321 rgb_led_ws281x: b: "ef" 33173-33321 rgb_led_ws281x: rgb: "#00fdef" 33321-33327 rgb_led_ws281x: bit: "1" 33327-33333 rgb_led_ws281x: bit: "1" @@ -4797,6 +5370,9 @@ 33450-33456 rgb_led_ws281x: bit: "1" 33456-33462 rgb_led_ws281x: bit: "1" 33462-33469 rgb_led_ws281x: bit: "1" +33321-33370 rgb_led_ws281x: g: "fb" +33370-33419 rgb_led_ws281x: r: "00" +33419-33469 rgb_led_ws281x: b: "df" 33321-33469 rgb_led_ws281x: rgb: "#00fbdf" 33469-33475 rgb_led_ws281x: bit: "1" 33475-33481 rgb_led_ws281x: bit: "1" @@ -4822,6 +5398,9 @@ 33598-33604 rgb_led_ws281x: bit: "1" 33604-33610 rgb_led_ws281x: bit: "1" 33610-33617 rgb_led_ws281x: bit: "1" +33469-33518 rgb_led_ws281x: g: "ff" +33518-33567 rgb_led_ws281x: r: "00" +33567-33617 rgb_led_ws281x: b: "ff" 33469-33617 rgb_led_ws281x: rgb: "#00ffff" 33617-33623 rgb_led_ws281x: bit: "1" 33623-33629 rgb_led_ws281x: bit: "1" @@ -4847,6 +5426,9 @@ 33746-33752 rgb_led_ws281x: bit: "1" 33752-33759 rgb_led_ws281x: bit: "1" 33759-33765 rgb_led_ws281x: bit: "0" +33617-33666 rgb_led_ws281x: g: "ff" +33666-33715 rgb_led_ws281x: r: "00" +33715-33765 rgb_led_ws281x: b: "be" 33617-33765 rgb_led_ws281x: rgb: "#00ffbe" 33765-33771 rgb_led_ws281x: bit: "1" 33771-33777 rgb_led_ws281x: bit: "1" @@ -4872,6 +5454,9 @@ 33894-33901 rgb_led_ws281x: bit: "1" 33901-33907 rgb_led_ws281x: bit: "0" 33907-33913 rgb_led_ws281x: bit: "1" +33765-33814 rgb_led_ws281x: g: "ef" +33814-33864 rgb_led_ws281x: r: "00" +33864-33913 rgb_led_ws281x: b: "7d" 33765-33913 rgb_led_ws281x: rgb: "#00ef7d" 33913-33919 rgb_led_ws281x: bit: "1" 33919-33926 rgb_led_ws281x: bit: "1" @@ -4897,6 +5482,9 @@ 34042-34049 rgb_led_ws281x: bit: "1" 34049-34055 rgb_led_ws281x: bit: "1" 34055-34061 rgb_led_ws281x: bit: "1" +33913-33963 rgb_led_ws281x: g: "df" +33963-34012 rgb_led_ws281x: r: "00" +34012-34061 rgb_led_ws281x: b: "ff" 33913-34061 rgb_led_ws281x: rgb: "#00dfff" 34061-34068 rgb_led_ws281x: bit: "1" 34068-34074 rgb_led_ws281x: bit: "0" @@ -4922,6 +5510,9 @@ 34191-34197 rgb_led_ws281x: bit: "0" 34197-34203 rgb_led_ws281x: bit: "1" 34203-34210 rgb_led_ws281x: bit: "1" +34061-34111 rgb_led_ws281x: g: "bf" +34111-34160 rgb_led_ws281x: r: "00" +34160-34210 rgb_led_ws281x: b: "fb" 34061-34210 rgb_led_ws281x: rgb: "#00bffb" 34210-34216 rgb_led_ws281x: bit: "0" 34216-34222 rgb_led_ws281x: bit: "1" @@ -4947,6 +5538,9 @@ 34339-34345 rgb_led_ws281x: bit: "1" 34345-34351 rgb_led_ws281x: bit: "1" 34351-34358 rgb_led_ws281x: bit: "1" +34210-34259 rgb_led_ws281x: g: "7e" +34259-34308 rgb_led_ws281x: r: "00" +34308-34358 rgb_led_ws281x: b: "f7" 34210-34358 rgb_led_ws281x: rgb: "#007ef7" 34358-34364 rgb_led_ws281x: bit: "1" 34364-34370 rgb_led_ws281x: bit: "1" @@ -4972,6 +5566,9 @@ 34487-34493 rgb_led_ws281x: bit: "1" 34493-34499 rgb_led_ws281x: bit: "1" 34499-34506 rgb_led_ws281x: bit: "1" +34358-34407 rgb_led_ws281x: g: "fd" +34407-34456 rgb_led_ws281x: r: "00" +34456-34506 rgb_led_ws281x: b: "ef" 34358-34506 rgb_led_ws281x: rgb: "#00fdef" 34506-34512 rgb_led_ws281x: bit: "1" 34512-34518 rgb_led_ws281x: bit: "1" @@ -4997,6 +5594,9 @@ 34635-34641 rgb_led_ws281x: bit: "1" 34641-34647 rgb_led_ws281x: bit: "1" 34647-34654 rgb_led_ws281x: bit: "1" +34506-34555 rgb_led_ws281x: g: "fb" +34555-34604 rgb_led_ws281x: r: "00" +34604-34654 rgb_led_ws281x: b: "df" 34506-34654 rgb_led_ws281x: rgb: "#00fbdf" 34654-34660 rgb_led_ws281x: bit: "1" 34660-34666 rgb_led_ws281x: bit: "1" @@ -5022,6 +5622,9 @@ 34783-34789 rgb_led_ws281x: bit: "1" 34789-34795 rgb_led_ws281x: bit: "1" 34795-34802 rgb_led_ws281x: bit: "1" +34654-34703 rgb_led_ws281x: g: "f7" +34703-34752 rgb_led_ws281x: r: "00" +34752-34802 rgb_led_ws281x: b: "bf" 34654-34802 rgb_led_ws281x: rgb: "#00f7bf" 34802-34808 rgb_led_ws281x: bit: "1" 34808-34814 rgb_led_ws281x: bit: "1" @@ -5047,6 +5650,9 @@ 34931-34937 rgb_led_ws281x: bit: "1" 34937-34944 rgb_led_ws281x: bit: "1" 34944-34950 rgb_led_ws281x: bit: "0" +34802-34851 rgb_led_ws281x: g: "ff" +34851-34900 rgb_led_ws281x: r: "00" +34900-34950 rgb_led_ws281x: b: "be" 34802-34950 rgb_led_ws281x: rgb: "#00ffbe" 34950-34956 rgb_led_ws281x: bit: "1" 34956-34962 rgb_led_ws281x: bit: "1" @@ -5072,6 +5678,9 @@ 35079-35086 rgb_led_ws281x: bit: "1" 35086-35092 rgb_led_ws281x: bit: "0" 35092-35098 rgb_led_ws281x: bit: "1" +34950-34999 rgb_led_ws281x: g: "ef" +34999-35049 rgb_led_ws281x: r: "00" +35049-35098 rgb_led_ws281x: b: "7d" 34950-35098 rgb_led_ws281x: rgb: "#00ef7d" 35098-35104 rgb_led_ws281x: bit: "1" 35104-35111 rgb_led_ws281x: bit: "1" @@ -5097,6 +5706,9 @@ 35227-35234 rgb_led_ws281x: bit: "1" 35234-35240 rgb_led_ws281x: bit: "1" 35240-35246 rgb_led_ws281x: bit: "1" +35098-35148 rgb_led_ws281x: g: "df" +35148-35197 rgb_led_ws281x: r: "00" +35197-35246 rgb_led_ws281x: b: "ff" 35098-35246 rgb_led_ws281x: rgb: "#00dfff" 35246-35253 rgb_led_ws281x: bit: "1" 35253-35259 rgb_led_ws281x: bit: "0" @@ -5122,6 +5734,9 @@ 35376-35382 rgb_led_ws281x: bit: "1" 35382-35388 rgb_led_ws281x: bit: "1" 35388-35395 rgb_led_ws281x: bit: "1" +35246-35296 rgb_led_ws281x: g: "bf" +35296-35345 rgb_led_ws281x: r: "00" +35345-35395 rgb_led_ws281x: b: "ff" 35246-35395 rgb_led_ws281x: rgb: "#00bfff" 35395-35401 rgb_led_ws281x: bit: "0" 35401-35407 rgb_led_ws281x: bit: "1" @@ -5147,6 +5762,9 @@ 35524-35530 rgb_led_ws281x: bit: "1" 35530-35536 rgb_led_ws281x: bit: "1" 35536-35543 rgb_led_ws281x: bit: "1" +35395-35444 rgb_led_ws281x: g: "7e" +35444-35493 rgb_led_ws281x: r: "00" +35493-35543 rgb_led_ws281x: b: "f7" 35395-35543 rgb_led_ws281x: rgb: "#007ef7" 35543-35549 rgb_led_ws281x: bit: "1" 35549-35555 rgb_led_ws281x: bit: "1" @@ -5172,6 +5790,9 @@ 35672-35678 rgb_led_ws281x: bit: "1" 35678-35684 rgb_led_ws281x: bit: "1" 35684-35691 rgb_led_ws281x: bit: "1" +35543-35592 rgb_led_ws281x: g: "fd" +35592-35641 rgb_led_ws281x: r: "00" +35641-35691 rgb_led_ws281x: b: "ef" 35543-35691 rgb_led_ws281x: rgb: "#00fdef" 35691-35697 rgb_led_ws281x: bit: "1" 35697-35703 rgb_led_ws281x: bit: "1" @@ -5197,6 +5818,9 @@ 35820-35826 rgb_led_ws281x: bit: "1" 35826-35832 rgb_led_ws281x: bit: "1" 35832-35839 rgb_led_ws281x: bit: "1" +35691-35740 rgb_led_ws281x: g: "fb" +35740-35789 rgb_led_ws281x: r: "00" +35789-35839 rgb_led_ws281x: b: "df" 35691-35839 rgb_led_ws281x: rgb: "#00fbdf" 35839-35845 rgb_led_ws281x: bit: "1" 35845-35851 rgb_led_ws281x: bit: "1" @@ -5222,6 +5846,9 @@ 35968-35974 rgb_led_ws281x: bit: "1" 35974-35980 rgb_led_ws281x: bit: "1" 35980-35987 rgb_led_ws281x: bit: "1" +35839-35888 rgb_led_ws281x: g: "f7" +35888-35937 rgb_led_ws281x: r: "00" +35937-35987 rgb_led_ws281x: b: "bf" 35839-35987 rgb_led_ws281x: rgb: "#00f7bf" 35987-35993 rgb_led_ws281x: bit: "1" 35993-35999 rgb_led_ws281x: bit: "1" @@ -5247,6 +5874,9 @@ 36116-36122 rgb_led_ws281x: bit: "1" 36122-36129 rgb_led_ws281x: bit: "1" 36129-36135 rgb_led_ws281x: bit: "0" +35987-36036 rgb_led_ws281x: g: "ff" +36036-36086 rgb_led_ws281x: r: "00" +36086-36135 rgb_led_ws281x: b: "7e" 35987-36135 rgb_led_ws281x: rgb: "#00ff7e" 36135-36141 rgb_led_ws281x: bit: "1" 36141-36147 rgb_led_ws281x: bit: "1" @@ -5272,6 +5902,9 @@ 36264-36271 rgb_led_ws281x: bit: "1" 36271-36277 rgb_led_ws281x: bit: "0" 36277-36283 rgb_led_ws281x: bit: "1" +36135-36184 rgb_led_ws281x: g: "ef" +36184-36234 rgb_led_ws281x: r: "00" +36234-36283 rgb_led_ws281x: b: "7d" 36135-36283 rgb_led_ws281x: rgb: "#00ef7d" 36283-36289 rgb_led_ws281x: bit: "1" 36289-36296 rgb_led_ws281x: bit: "1" @@ -5297,6 +5930,9 @@ 36412-36419 rgb_led_ws281x: bit: "1" 36419-36425 rgb_led_ws281x: bit: "1" 36425-36431 rgb_led_ws281x: bit: "1" +36283-36333 rgb_led_ws281x: g: "df" +36333-36382 rgb_led_ws281x: r: "00" +36382-36431 rgb_led_ws281x: b: "ff" 36283-36431 rgb_led_ws281x: rgb: "#00dfff" 36431-36438 rgb_led_ws281x: bit: "1" 36438-36444 rgb_led_ws281x: bit: "0" @@ -5322,6 +5958,9 @@ 36561-36567 rgb_led_ws281x: bit: "1" 36567-36573 rgb_led_ws281x: bit: "1" 36573-36580 rgb_led_ws281x: bit: "1" +36431-36481 rgb_led_ws281x: g: "be" +36481-36530 rgb_led_ws281x: r: "00" +36530-36580 rgb_led_ws281x: b: "ff" 36431-36580 rgb_led_ws281x: rgb: "#00beff" 36580-36586 rgb_led_ws281x: bit: "0" 36586-36592 rgb_led_ws281x: bit: "1" @@ -5347,6 +5986,9 @@ 36709-36715 rgb_led_ws281x: bit: "1" 36715-36721 rgb_led_ws281x: bit: "1" 36721-36728 rgb_led_ws281x: bit: "1" +36580-36629 rgb_led_ws281x: g: "7f" +36629-36678 rgb_led_ws281x: r: "00" +36678-36728 rgb_led_ws281x: b: "f7" 36580-36728 rgb_led_ws281x: rgb: "#007ff7" 36728-36734 rgb_led_ws281x: bit: "1" 36734-36740 rgb_led_ws281x: bit: "1" @@ -5372,6 +6014,9 @@ 36857-36863 rgb_led_ws281x: bit: "1" 36863-36869 rgb_led_ws281x: bit: "1" 36869-36876 rgb_led_ws281x: bit: "1" +36728-36777 rgb_led_ws281x: g: "fd" +36777-36826 rgb_led_ws281x: r: "00" +36826-36876 rgb_led_ws281x: b: "ef" 36728-36876 rgb_led_ws281x: rgb: "#00fdef" 36876-36882 rgb_led_ws281x: bit: "1" 36882-36888 rgb_led_ws281x: bit: "1" @@ -5397,6 +6042,9 @@ 37005-37011 rgb_led_ws281x: bit: "1" 37011-37017 rgb_led_ws281x: bit: "1" 37017-37024 rgb_led_ws281x: bit: "1" +36876-36925 rgb_led_ws281x: g: "fb" +36925-36974 rgb_led_ws281x: r: "00" +36974-37024 rgb_led_ws281x: b: "df" 36876-37024 rgb_led_ws281x: rgb: "#00fbdf" 37024-37030 rgb_led_ws281x: bit: "1" 37030-37036 rgb_led_ws281x: bit: "1" @@ -5422,6 +6070,9 @@ 37153-37159 rgb_led_ws281x: bit: "1" 37159-37165 rgb_led_ws281x: bit: "1" 37165-37172 rgb_led_ws281x: bit: "1" +37024-37073 rgb_led_ws281x: g: "f7" +37073-37122 rgb_led_ws281x: r: "00" +37122-37172 rgb_led_ws281x: b: "bf" 37024-37172 rgb_led_ws281x: rgb: "#00f7bf" 37172-37178 rgb_led_ws281x: bit: "1" 37178-37184 rgb_led_ws281x: bit: "1" @@ -5447,6 +6098,9 @@ 37301-37307 rgb_led_ws281x: bit: "1" 37307-37314 rgb_led_ws281x: bit: "1" 37314-37320 rgb_led_ws281x: bit: "0" +37172-37221 rgb_led_ws281x: g: "ef" +37221-37271 rgb_led_ws281x: r: "00" +37271-37320 rgb_led_ws281x: b: "7e" 37172-37320 rgb_led_ws281x: rgb: "#00ef7e" 37320-37326 rgb_led_ws281x: bit: "1" 37326-37332 rgb_led_ws281x: bit: "1" @@ -5472,6 +6126,9 @@ 37449-37456 rgb_led_ws281x: bit: "1" 37456-37462 rgb_led_ws281x: bit: "0" 37462-37468 rgb_led_ws281x: bit: "1" +37320-37369 rgb_led_ws281x: g: "ff" +37369-37419 rgb_led_ws281x: r: "00" +37419-37468 rgb_led_ws281x: b: "fd" 37320-37468 rgb_led_ws281x: rgb: "#00fffd" 37468-37474 rgb_led_ws281x: bit: "1" 37474-37481 rgb_led_ws281x: bit: "1" @@ -5497,6 +6154,9 @@ 37598-37604 rgb_led_ws281x: bit: "0" 37604-37610 rgb_led_ws281x: bit: "1" 37610-37616 rgb_led_ws281x: bit: "1" +37468-37518 rgb_led_ws281x: g: "df" +37518-37567 rgb_led_ws281x: r: "00" +37567-37616 rgb_led_ws281x: b: "fb" 37468-37616 rgb_led_ws281x: rgb: "#00dffb" 37616-37623 rgb_led_ws281x: bit: "1" 37623-37629 rgb_led_ws281x: bit: "0" @@ -5522,6 +6182,9 @@ 37746-37752 rgb_led_ws281x: bit: "1" 37752-37758 rgb_led_ws281x: bit: "1" 37758-37765 rgb_led_ws281x: bit: "1" +37616-37666 rgb_led_ws281x: g: "be" +37666-37715 rgb_led_ws281x: r: "00" +37715-37765 rgb_led_ws281x: b: "f7" 37616-37765 rgb_led_ws281x: rgb: "#00bef7" 37765-37771 rgb_led_ws281x: bit: "0" 37771-37777 rgb_led_ws281x: bit: "1" @@ -5547,6 +6210,9 @@ 37894-37900 rgb_led_ws281x: bit: "1" 37900-37906 rgb_led_ws281x: bit: "1" 37906-37913 rgb_led_ws281x: bit: "1" +37765-37814 rgb_led_ws281x: g: "7d" +37814-37863 rgb_led_ws281x: r: "00" +37863-37913 rgb_led_ws281x: b: "f7" 37765-37913 rgb_led_ws281x: rgb: "#007df7" 37913-37919 rgb_led_ws281x: bit: "1" 37919-37925 rgb_led_ws281x: bit: "1" @@ -5572,6 +6238,9 @@ 38042-38048 rgb_led_ws281x: bit: "1" 38048-38054 rgb_led_ws281x: bit: "1" 38054-38061 rgb_led_ws281x: bit: "1" +37913-37962 rgb_led_ws281x: g: "fd" +37962-38011 rgb_led_ws281x: r: "00" +38011-38061 rgb_led_ws281x: b: "ef" 37913-38061 rgb_led_ws281x: rgb: "#00fdef" 38061-38067 rgb_led_ws281x: bit: "1" 38067-38073 rgb_led_ws281x: bit: "1" @@ -5597,6 +6266,9 @@ 38190-38196 rgb_led_ws281x: bit: "1" 38196-38202 rgb_led_ws281x: bit: "1" 38202-38209 rgb_led_ws281x: bit: "1" +38061-38110 rgb_led_ws281x: g: "fb" +38110-38159 rgb_led_ws281x: r: "00" +38159-38209 rgb_led_ws281x: b: "df" 38061-38209 rgb_led_ws281x: rgb: "#00fbdf" 38209-38215 rgb_led_ws281x: bit: "1" 38215-38221 rgb_led_ws281x: bit: "1" @@ -5622,6 +6294,9 @@ 38338-38344 rgb_led_ws281x: bit: "1" 38344-38350 rgb_led_ws281x: bit: "1" 38350-38357 rgb_led_ws281x: bit: "1" +38209-38258 rgb_led_ws281x: g: "f7" +38258-38307 rgb_led_ws281x: r: "00" +38307-38357 rgb_led_ws281x: b: "bf" 38209-38357 rgb_led_ws281x: rgb: "#00f7bf" 38357-38363 rgb_led_ws281x: bit: "1" 38363-38369 rgb_led_ws281x: bit: "1" @@ -5647,6 +6322,9 @@ 38486-38492 rgb_led_ws281x: bit: "1" 38492-38499 rgb_led_ws281x: bit: "1" 38499-38505 rgb_led_ws281x: bit: "0" +38357-38406 rgb_led_ws281x: g: "ef" +38406-38456 rgb_led_ws281x: r: "00" +38456-38505 rgb_led_ws281x: b: "7e" 38357-38505 rgb_led_ws281x: rgb: "#00ef7e" 38505-38511 rgb_led_ws281x: bit: "1" 38511-38518 rgb_led_ws281x: bit: "1" @@ -5672,6 +6350,9 @@ 38634-38641 rgb_led_ws281x: bit: "1" 38641-38647 rgb_led_ws281x: bit: "0" 38647-38653 rgb_led_ws281x: bit: "1" +38505-38554 rgb_led_ws281x: g: "df" +38554-38604 rgb_led_ws281x: r: "00" +38604-38653 rgb_led_ws281x: b: "fd" 38505-38653 rgb_led_ws281x: rgb: "#00dffd" 38653-38659 rgb_led_ws281x: bit: "1" 38659-38666 rgb_led_ws281x: bit: "1" @@ -5697,6 +6378,9 @@ 38783-38789 rgb_led_ws281x: bit: "0" 38789-38795 rgb_led_ws281x: bit: "1" 38795-38801 rgb_led_ws281x: bit: "1" +38653-38703 rgb_led_ws281x: g: "ff" +38703-38752 rgb_led_ws281x: r: "00" +38752-38801 rgb_led_ws281x: b: "fb" 38653-38801 rgb_led_ws281x: rgb: "#00fffb" 38801-38808 rgb_led_ws281x: bit: "1" 38808-38814 rgb_led_ws281x: bit: "0" @@ -5722,6 +6406,9 @@ 38931-38937 rgb_led_ws281x: bit: "1" 38937-38943 rgb_led_ws281x: bit: "1" 38943-38950 rgb_led_ws281x: bit: "1" +38801-38851 rgb_led_ws281x: g: "be" +38851-38900 rgb_led_ws281x: r: "00" +38900-38950 rgb_led_ws281x: b: "f7" 38801-38950 rgb_led_ws281x: rgb: "#00bef7" 38950-38956 rgb_led_ws281x: bit: "0" 38956-38962 rgb_led_ws281x: bit: "1" @@ -5747,6 +6434,9 @@ 39079-39085 rgb_led_ws281x: bit: "1" 39085-39091 rgb_led_ws281x: bit: "1" 39091-39098 rgb_led_ws281x: bit: "1" +38950-38999 rgb_led_ws281x: g: "7d" +38999-39048 rgb_led_ws281x: r: "00" +39048-39098 rgb_led_ws281x: b: "ff" 38950-39098 rgb_led_ws281x: rgb: "#007dff" 39098-39104 rgb_led_ws281x: bit: "1" 39104-39110 rgb_led_ws281x: bit: "1" @@ -5772,6 +6462,9 @@ 39227-39233 rgb_led_ws281x: bit: "1" 39233-39239 rgb_led_ws281x: bit: "1" 39239-39246 rgb_led_ws281x: bit: "1" +39098-39147 rgb_led_ws281x: g: "ff" +39147-39196 rgb_led_ws281x: r: "00" +39196-39246 rgb_led_ws281x: b: "ef" 39098-39246 rgb_led_ws281x: rgb: "#00ffef" 39246-39252 rgb_led_ws281x: bit: "1" 39252-39258 rgb_led_ws281x: bit: "1" @@ -5797,6 +6490,9 @@ 39375-39381 rgb_led_ws281x: bit: "1" 39381-39387 rgb_led_ws281x: bit: "1" 39387-39394 rgb_led_ws281x: bit: "1" +39246-39295 rgb_led_ws281x: g: "fb" +39295-39344 rgb_led_ws281x: r: "00" +39344-39394 rgb_led_ws281x: b: "df" 39246-39394 rgb_led_ws281x: rgb: "#00fbdf" 39394-39400 rgb_led_ws281x: bit: "1" 39400-39406 rgb_led_ws281x: bit: "1" @@ -5822,6 +6518,9 @@ 39523-39529 rgb_led_ws281x: bit: "1" 39529-39535 rgb_led_ws281x: bit: "1" 39535-39542 rgb_led_ws281x: bit: "1" +39394-39443 rgb_led_ws281x: g: "f7" +39443-39492 rgb_led_ws281x: r: "00" +39492-39542 rgb_led_ws281x: b: "bf" 39394-39542 rgb_led_ws281x: rgb: "#00f7bf" 39542-39548 rgb_led_ws281x: bit: "1" 39548-39554 rgb_led_ws281x: bit: "1" @@ -5847,6 +6546,9 @@ 39671-39677 rgb_led_ws281x: bit: "1" 39677-39684 rgb_led_ws281x: bit: "1" 39684-39690 rgb_led_ws281x: bit: "0" +39542-39591 rgb_led_ws281x: g: "ef" +39591-39641 rgb_led_ws281x: r: "00" +39641-39690 rgb_led_ws281x: b: "7e" 39542-39690 rgb_led_ws281x: rgb: "#00ef7e" 39690-39696 rgb_led_ws281x: bit: "1" 39696-39703 rgb_led_ws281x: bit: "1" @@ -5872,6 +6574,9 @@ 39819-39826 rgb_led_ws281x: bit: "1" 39826-39832 rgb_led_ws281x: bit: "0" 39832-39838 rgb_led_ws281x: bit: "1" +39690-39739 rgb_led_ws281x: g: "df" +39739-39789 rgb_led_ws281x: r: "00" +39789-39838 rgb_led_ws281x: b: "fd" 39690-39838 rgb_led_ws281x: rgb: "#00dffd" 39838-39844 rgb_led_ws281x: bit: "1" 39844-39851 rgb_led_ws281x: bit: "1" @@ -5897,6 +6602,9 @@ 39968-39974 rgb_led_ws281x: bit: "0" 39974-39980 rgb_led_ws281x: bit: "1" 39980-39986 rgb_led_ws281x: bit: "1" +39838-39888 rgb_led_ws281x: g: "ff" +39888-39937 rgb_led_ws281x: r: "00" +39937-39986 rgb_led_ws281x: b: "fb" 39838-39986 rgb_led_ws281x: rgb: "#00fffb" 39986-39993 rgb_led_ws281x: bit: "1" 39993-39999 rgb_led_ws281x: bit: "1" @@ -5922,6 +6630,9 @@ 40116-40122 rgb_led_ws281x: bit: "1" 40122-40128 rgb_led_ws281x: bit: "1" 40128-40135 rgb_led_ws281x: bit: "1" +39986-40036 rgb_led_ws281x: g: "fe" +40036-40085 rgb_led_ws281x: r: "00" +40085-40135 rgb_led_ws281x: b: "f7" 39986-40135 rgb_led_ws281x: rgb: "#00fef7" 40135-40141 rgb_led_ws281x: bit: "0" 40141-40147 rgb_led_ws281x: bit: "1" @@ -5947,6 +6658,9 @@ 40264-40270 rgb_led_ws281x: bit: "1" 40270-40276 rgb_led_ws281x: bit: "1" 40276-40283 rgb_led_ws281x: bit: "1" +40135-40184 rgb_led_ws281x: g: "7d" +40184-40233 rgb_led_ws281x: r: "00" +40233-40283 rgb_led_ws281x: b: "ef" 40135-40283 rgb_led_ws281x: rgb: "#007def" 40283-40289 rgb_led_ws281x: bit: "1" 40289-40295 rgb_led_ws281x: bit: "1" @@ -5972,6 +6686,9 @@ 40412-40418 rgb_led_ws281x: bit: "1" 40418-40424 rgb_led_ws281x: bit: "1" 40424-40431 rgb_led_ws281x: bit: "1" +40283-40332 rgb_led_ws281x: g: "ff" +40332-40381 rgb_led_ws281x: r: "00" +40381-40431 rgb_led_ws281x: b: "ff" 40283-40431 rgb_led_ws281x: rgb: "#00ffff" 40431-40437 rgb_led_ws281x: bit: "1" 40437-40443 rgb_led_ws281x: bit: "1" @@ -5997,6 +6714,9 @@ 40560-40566 rgb_led_ws281x: bit: "1" 40566-40572 rgb_led_ws281x: bit: "1" 40572-40579 rgb_led_ws281x: bit: "1" +40431-40480 rgb_led_ws281x: g: "ff" +40480-40529 rgb_led_ws281x: r: "00" +40529-40579 rgb_led_ws281x: b: "df" 40431-40579 rgb_led_ws281x: rgb: "#00ffdf" 40579-40585 rgb_led_ws281x: bit: "1" 40585-40591 rgb_led_ws281x: bit: "1" @@ -6022,6 +6742,9 @@ 40708-40714 rgb_led_ws281x: bit: "1" 40714-40721 rgb_led_ws281x: bit: "1" 40721-40727 rgb_led_ws281x: bit: "0" +40579-40628 rgb_led_ws281x: g: "f7" +40628-40677 rgb_led_ws281x: r: "00" +40677-40727 rgb_led_ws281x: b: "be" 40579-40727 rgb_led_ws281x: rgb: "#00f7be" 40727-40733 rgb_led_ws281x: bit: "1" 40733-40739 rgb_led_ws281x: bit: "1" @@ -6047,6 +6770,9 @@ 40856-40862 rgb_led_ws281x: bit: "1" 40862-40869 rgb_led_ws281x: bit: "1" 40869-40875 rgb_led_ws281x: bit: "1" +40727-40776 rgb_led_ws281x: g: "ef" +40776-40826 rgb_led_ws281x: r: "00" +40826-40875 rgb_led_ws281x: b: "7f" 40727-40875 rgb_led_ws281x: rgb: "#00ef7f" 40875-40881 rgb_led_ws281x: bit: "1" 40881-40888 rgb_led_ws281x: bit: "1" @@ -6072,6 +6798,9 @@ 41004-41011 rgb_led_ws281x: bit: "1" 41011-41017 rgb_led_ws281x: bit: "0" 41017-41023 rgb_led_ws281x: bit: "1" +40875-40924 rgb_led_ws281x: g: "df" +40924-40974 rgb_led_ws281x: r: "00" +40974-41023 rgb_led_ws281x: b: "fd" 40875-41023 rgb_led_ws281x: rgb: "#00dffd" 41023-41030 rgb_led_ws281x: bit: "1" 41030-41036 rgb_led_ws281x: bit: "0" @@ -6097,6 +6826,9 @@ 41153-41159 rgb_led_ws281x: bit: "0" 41159-41165 rgb_led_ws281x: bit: "1" 41165-41171 rgb_led_ws281x: bit: "1" +41023-41073 rgb_led_ws281x: g: "bf" +41073-41122 rgb_led_ws281x: r: "00" +41122-41171 rgb_led_ws281x: b: "fb" 41023-41171 rgb_led_ws281x: rgb: "#00bffb" 41171-41178 rgb_led_ws281x: bit: "1" 41178-41184 rgb_led_ws281x: bit: "1" @@ -6122,6 +6854,9 @@ 41301-41307 rgb_led_ws281x: bit: "1" 41307-41313 rgb_led_ws281x: bit: "1" 41313-41320 rgb_led_ws281x: bit: "1" +41171-41221 rgb_led_ws281x: g: "fe" +41221-41270 rgb_led_ws281x: r: "00" +41270-41320 rgb_led_ws281x: b: "f7" 41171-41320 rgb_led_ws281x: rgb: "#00fef7" 41320-41326 rgb_led_ws281x: bit: "0" 41326-41332 rgb_led_ws281x: bit: "1" @@ -6147,6 +6882,9 @@ 41449-41455 rgb_led_ws281x: bit: "1" 41455-41461 rgb_led_ws281x: bit: "1" 41461-41468 rgb_led_ws281x: bit: "1" +41320-41369 rgb_led_ws281x: g: "7d" +41369-41418 rgb_led_ws281x: r: "00" +41418-41468 rgb_led_ws281x: b: "ef" 41320-41468 rgb_led_ws281x: rgb: "#007def" 41468-41474 rgb_led_ws281x: bit: "1" 41474-41480 rgb_led_ws281x: bit: "1" @@ -6172,6 +6910,9 @@ 41597-41603 rgb_led_ws281x: bit: "1" 41603-41609 rgb_led_ws281x: bit: "1" 41609-41616 rgb_led_ws281x: bit: "1" +41468-41517 rgb_led_ws281x: g: "ff" +41517-41566 rgb_led_ws281x: r: "00" +41566-41616 rgb_led_ws281x: b: "ff" 41468-41616 rgb_led_ws281x: rgb: "#00ffff" 41616-41622 rgb_led_ws281x: bit: "1" 41622-41628 rgb_led_ws281x: bit: "1" @@ -6197,6 +6938,9 @@ 41745-41751 rgb_led_ws281x: bit: "1" 41751-41757 rgb_led_ws281x: bit: "1" 41757-41764 rgb_led_ws281x: bit: "1" +41616-41665 rgb_led_ws281x: g: "ff" +41665-41714 rgb_led_ws281x: r: "00" +41714-41764 rgb_led_ws281x: b: "df" 41616-41764 rgb_led_ws281x: rgb: "#00ffdf" 41764-41770 rgb_led_ws281x: bit: "1" 41770-41776 rgb_led_ws281x: bit: "1" @@ -6222,6 +6966,9 @@ 41893-41899 rgb_led_ws281x: bit: "1" 41899-41906 rgb_led_ws281x: bit: "1" 41906-41912 rgb_led_ws281x: bit: "0" +41764-41813 rgb_led_ws281x: g: "f7" +41813-41862 rgb_led_ws281x: r: "00" +41862-41912 rgb_led_ws281x: b: "be" 41764-41912 rgb_led_ws281x: rgb: "#00f7be" 41912-41918 rgb_led_ws281x: bit: "1" 41918-41924 rgb_led_ws281x: bit: "1" @@ -6247,6 +6994,9 @@ 42041-42048 rgb_led_ws281x: bit: "1" 42048-42054 rgb_led_ws281x: bit: "0" 42054-42060 rgb_led_ws281x: bit: "1" +41912-41961 rgb_led_ws281x: g: "ef" +41961-42011 rgb_led_ws281x: r: "00" +42011-42060 rgb_led_ws281x: b: "7d" 41912-42060 rgb_led_ws281x: rgb: "#00ef7d" 42060-42066 rgb_led_ws281x: bit: "1" 42066-42073 rgb_led_ws281x: bit: "1" @@ -6272,6 +7022,9 @@ 42189-42196 rgb_led_ws281x: bit: "1" 42196-42202 rgb_led_ws281x: bit: "0" 42202-42208 rgb_led_ws281x: bit: "1" +42060-42109 rgb_led_ws281x: g: "df" +42109-42159 rgb_led_ws281x: r: "00" +42159-42208 rgb_led_ws281x: b: "fd" 42060-42208 rgb_led_ws281x: rgb: "#00dffd" 42208-42215 rgb_led_ws281x: bit: "1" 42215-42221 rgb_led_ws281x: bit: "0" @@ -6297,6 +7050,9 @@ 42338-42344 rgb_led_ws281x: bit: "0" 42344-42350 rgb_led_ws281x: bit: "1" 42350-42357 rgb_led_ws281x: bit: "1" +42208-42258 rgb_led_ws281x: g: "bf" +42258-42307 rgb_led_ws281x: r: "00" +42307-42357 rgb_led_ws281x: b: "fb" 42208-42357 rgb_led_ws281x: rgb: "#00bffb" 42357-42363 rgb_led_ws281x: bit: "0" 42363-42369 rgb_led_ws281x: bit: "1" @@ -6322,6 +7078,9 @@ 42486-42492 rgb_led_ws281x: bit: "1" 42492-42498 rgb_led_ws281x: bit: "1" 42498-42505 rgb_led_ws281x: bit: "1" +42357-42406 rgb_led_ws281x: g: "7e" +42406-42455 rgb_led_ws281x: r: "00" +42455-42505 rgb_led_ws281x: b: "f7" 42357-42505 rgb_led_ws281x: rgb: "#007ef7" 42505-42511 rgb_led_ws281x: bit: "1" 42511-42517 rgb_led_ws281x: bit: "1" @@ -6347,6 +7106,9 @@ 42634-42640 rgb_led_ws281x: bit: "1" 42640-42646 rgb_led_ws281x: bit: "1" 42646-42653 rgb_led_ws281x: bit: "1" +42505-42554 rgb_led_ws281x: g: "fd" +42554-42603 rgb_led_ws281x: r: "00" +42603-42653 rgb_led_ws281x: b: "ef" 42505-42653 rgb_led_ws281x: rgb: "#00fdef" 42653-42659 rgb_led_ws281x: bit: "1" 42659-42665 rgb_led_ws281x: bit: "1" @@ -6371,6 +7133,9 @@ 42776-42782 rgb_led_ws281x: bit: "1" 42782-42788 rgb_led_ws281x: bit: "1" 42788-42794 rgb_led_ws281x: bit: "1" +42653-42702 rgb_led_ws281x: g: "fb" +42702-42751 rgb_led_ws281x: r: "00" +42751-42798 rgb_led_ws281x: b: "df" 42653-42798 rgb_led_ws281x: rgb: "#00fbdf" 42794-42798 rgb_led_ws281x: bit: "1" 42798-43049 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -6398,6 +7163,9 @@ 54722-54728 rgb_led_ws281x: bit: "1" 54728-54734 rgb_led_ws281x: bit: "1" 54734-54741 rgb_led_ws281x: bit: "1" +54593-54642 rgb_led_ws281x: g: "7d" +54642-54691 rgb_led_ws281x: r: "00" +54691-54741 rgb_led_ws281x: b: "ff" 54593-54741 rgb_led_ws281x: rgb: "#007dff" 54741-54747 rgb_led_ws281x: bit: "1" 54747-54753 rgb_led_ws281x: bit: "1" @@ -6423,6 +7191,9 @@ 54870-54876 rgb_led_ws281x: bit: "1" 54876-54882 rgb_led_ws281x: bit: "1" 54882-54889 rgb_led_ws281x: bit: "1" +54741-54790 rgb_led_ws281x: g: "ff" +54790-54839 rgb_led_ws281x: r: "00" +54839-54889 rgb_led_ws281x: b: "ef" 54741-54889 rgb_led_ws281x: rgb: "#00ffef" 54889-54895 rgb_led_ws281x: bit: "1" 54895-54901 rgb_led_ws281x: bit: "1" @@ -6448,6 +7219,9 @@ 55018-55024 rgb_led_ws281x: bit: "1" 55024-55030 rgb_led_ws281x: bit: "1" 55030-55037 rgb_led_ws281x: bit: "1" +54889-54938 rgb_led_ws281x: g: "fb" +54938-54987 rgb_led_ws281x: r: "00" +54987-55037 rgb_led_ws281x: b: "df" 54889-55037 rgb_led_ws281x: rgb: "#00fbdf" 55037-55043 rgb_led_ws281x: bit: "1" 55043-55049 rgb_led_ws281x: bit: "1" @@ -6473,6 +7247,9 @@ 55166-55172 rgb_led_ws281x: bit: "1" 55172-55178 rgb_led_ws281x: bit: "1" 55178-55185 rgb_led_ws281x: bit: "1" +55037-55086 rgb_led_ws281x: g: "f7" +55086-55135 rgb_led_ws281x: r: "00" +55135-55185 rgb_led_ws281x: b: "bf" 55037-55185 rgb_led_ws281x: rgb: "#00f7bf" 55185-55191 rgb_led_ws281x: bit: "1" 55191-55197 rgb_led_ws281x: bit: "1" @@ -6498,6 +7275,9 @@ 55314-55320 rgb_led_ws281x: bit: "1" 55320-55327 rgb_led_ws281x: bit: "1" 55327-55333 rgb_led_ws281x: bit: "0" +55185-55234 rgb_led_ws281x: g: "ef" +55234-55284 rgb_led_ws281x: r: "00" +55284-55333 rgb_led_ws281x: b: "7e" 55185-55333 rgb_led_ws281x: rgb: "#00ef7e" 55333-55339 rgb_led_ws281x: bit: "1" 55339-55346 rgb_led_ws281x: bit: "1" @@ -6523,6 +7303,9 @@ 55462-55469 rgb_led_ws281x: bit: "1" 55469-55475 rgb_led_ws281x: bit: "0" 55475-55481 rgb_led_ws281x: bit: "1" +55333-55382 rgb_led_ws281x: g: "df" +55382-55432 rgb_led_ws281x: r: "00" +55432-55481 rgb_led_ws281x: b: "fd" 55333-55481 rgb_led_ws281x: rgb: "#00dffd" 55481-55487 rgb_led_ws281x: bit: "1" 55487-55494 rgb_led_ws281x: bit: "1" @@ -6548,6 +7331,9 @@ 55611-55617 rgb_led_ws281x: bit: "0" 55617-55623 rgb_led_ws281x: bit: "1" 55623-55629 rgb_led_ws281x: bit: "1" +55481-55531 rgb_led_ws281x: g: "ff" +55531-55580 rgb_led_ws281x: r: "00" +55580-55629 rgb_led_ws281x: b: "fb" 55481-55629 rgb_led_ws281x: rgb: "#00fffb" 55629-55636 rgb_led_ws281x: bit: "1" 55636-55642 rgb_led_ws281x: bit: "0" @@ -6573,6 +7359,9 @@ 55759-55765 rgb_led_ws281x: bit: "1" 55765-55771 rgb_led_ws281x: bit: "1" 55771-55778 rgb_led_ws281x: bit: "1" +55629-55679 rgb_led_ws281x: g: "be" +55679-55728 rgb_led_ws281x: r: "00" +55728-55778 rgb_led_ws281x: b: "f7" 55629-55778 rgb_led_ws281x: rgb: "#00bef7" 55778-55784 rgb_led_ws281x: bit: "0" 55784-55790 rgb_led_ws281x: bit: "1" @@ -6598,6 +7387,9 @@ 55907-55913 rgb_led_ws281x: bit: "1" 55913-55919 rgb_led_ws281x: bit: "1" 55919-55926 rgb_led_ws281x: bit: "1" +55778-55827 rgb_led_ws281x: g: "7d" +55827-55876 rgb_led_ws281x: r: "00" +55876-55926 rgb_led_ws281x: b: "ff" 55778-55926 rgb_led_ws281x: rgb: "#007dff" 55926-55932 rgb_led_ws281x: bit: "1" 55932-55938 rgb_led_ws281x: bit: "1" @@ -6623,6 +7415,9 @@ 56055-56061 rgb_led_ws281x: bit: "1" 56061-56067 rgb_led_ws281x: bit: "1" 56067-56074 rgb_led_ws281x: bit: "1" +55926-55975 rgb_led_ws281x: g: "ff" +55975-56024 rgb_led_ws281x: r: "00" +56024-56074 rgb_led_ws281x: b: "ef" 55926-56074 rgb_led_ws281x: rgb: "#00ffef" 56074-56080 rgb_led_ws281x: bit: "1" 56080-56086 rgb_led_ws281x: bit: "1" @@ -6648,6 +7443,9 @@ 56203-56209 rgb_led_ws281x: bit: "1" 56209-56215 rgb_led_ws281x: bit: "1" 56215-56222 rgb_led_ws281x: bit: "1" +56074-56123 rgb_led_ws281x: g: "ff" +56123-56172 rgb_led_ws281x: r: "00" +56172-56222 rgb_led_ws281x: b: "df" 56074-56222 rgb_led_ws281x: rgb: "#00ffdf" 56222-56228 rgb_led_ws281x: bit: "1" 56228-56234 rgb_led_ws281x: bit: "1" @@ -6673,6 +7471,9 @@ 56351-56357 rgb_led_ws281x: bit: "1" 56357-56363 rgb_led_ws281x: bit: "1" 56363-56370 rgb_led_ws281x: bit: "1" +56222-56271 rgb_led_ws281x: g: "f7" +56271-56320 rgb_led_ws281x: r: "00" +56320-56370 rgb_led_ws281x: b: "bf" 56222-56370 rgb_led_ws281x: rgb: "#00f7bf" 56370-56376 rgb_led_ws281x: bit: "1" 56376-56382 rgb_led_ws281x: bit: "1" @@ -6698,6 +7499,9 @@ 56499-56505 rgb_led_ws281x: bit: "1" 56505-56512 rgb_led_ws281x: bit: "1" 56512-56518 rgb_led_ws281x: bit: "1" +56370-56419 rgb_led_ws281x: g: "ef" +56419-56469 rgb_led_ws281x: r: "00" +56469-56518 rgb_led_ws281x: b: "7f" 56370-56518 rgb_led_ws281x: rgb: "#00ef7f" 56518-56524 rgb_led_ws281x: bit: "1" 56524-56531 rgb_led_ws281x: bit: "1" @@ -6723,6 +7527,9 @@ 56647-56654 rgb_led_ws281x: bit: "1" 56654-56660 rgb_led_ws281x: bit: "0" 56660-56666 rgb_led_ws281x: bit: "1" +56518-56567 rgb_led_ws281x: g: "df" +56567-56617 rgb_led_ws281x: r: "00" +56617-56666 rgb_led_ws281x: b: "fd" 56518-56666 rgb_led_ws281x: rgb: "#00dffd" 56666-56673 rgb_led_ws281x: bit: "1" 56673-56679 rgb_led_ws281x: bit: "0" @@ -6748,6 +7555,9 @@ 56796-56802 rgb_led_ws281x: bit: "0" 56802-56808 rgb_led_ws281x: bit: "1" 56808-56814 rgb_led_ws281x: bit: "1" +56666-56716 rgb_led_ws281x: g: "bf" +56716-56765 rgb_led_ws281x: r: "00" +56765-56814 rgb_led_ws281x: b: "fb" 56666-56814 rgb_led_ws281x: rgb: "#00bffb" 56814-56821 rgb_led_ws281x: bit: "1" 56821-56827 rgb_led_ws281x: bit: "1" @@ -6773,6 +7583,9 @@ 56944-56950 rgb_led_ws281x: bit: "1" 56950-56956 rgb_led_ws281x: bit: "1" 56956-56963 rgb_led_ws281x: bit: "1" +56814-56864 rgb_led_ws281x: g: "fe" +56864-56913 rgb_led_ws281x: r: "00" +56913-56963 rgb_led_ws281x: b: "f7" 56814-56963 rgb_led_ws281x: rgb: "#00fef7" 56963-56969 rgb_led_ws281x: bit: "0" 56969-56975 rgb_led_ws281x: bit: "1" @@ -6798,6 +7611,9 @@ 57092-57098 rgb_led_ws281x: bit: "1" 57098-57104 rgb_led_ws281x: bit: "1" 57104-57111 rgb_led_ws281x: bit: "1" +56963-57012 rgb_led_ws281x: g: "7d" +57012-57061 rgb_led_ws281x: r: "00" +57061-57111 rgb_led_ws281x: b: "ef" 56963-57111 rgb_led_ws281x: rgb: "#007def" 57111-57117 rgb_led_ws281x: bit: "1" 57117-57123 rgb_led_ws281x: bit: "1" @@ -6823,6 +7639,9 @@ 57240-57246 rgb_led_ws281x: bit: "1" 57246-57252 rgb_led_ws281x: bit: "1" 57252-57259 rgb_led_ws281x: bit: "1" +57111-57160 rgb_led_ws281x: g: "ff" +57160-57209 rgb_led_ws281x: r: "00" +57209-57259 rgb_led_ws281x: b: "ff" 57111-57259 rgb_led_ws281x: rgb: "#00ffff" 57259-57265 rgb_led_ws281x: bit: "1" 57265-57271 rgb_led_ws281x: bit: "1" @@ -6848,6 +7667,9 @@ 57388-57394 rgb_led_ws281x: bit: "1" 57394-57400 rgb_led_ws281x: bit: "1" 57400-57407 rgb_led_ws281x: bit: "1" +57259-57308 rgb_led_ws281x: g: "ff" +57308-57357 rgb_led_ws281x: r: "00" +57357-57407 rgb_led_ws281x: b: "df" 57259-57407 rgb_led_ws281x: rgb: "#00ffdf" 57407-57413 rgb_led_ws281x: bit: "1" 57413-57419 rgb_led_ws281x: bit: "1" @@ -6873,6 +7695,9 @@ 57536-57542 rgb_led_ws281x: bit: "1" 57542-57549 rgb_led_ws281x: bit: "1" 57549-57555 rgb_led_ws281x: bit: "0" +57407-57456 rgb_led_ws281x: g: "f7" +57456-57505 rgb_led_ws281x: r: "00" +57505-57555 rgb_led_ws281x: b: "be" 57407-57555 rgb_led_ws281x: rgb: "#00f7be" 57555-57561 rgb_led_ws281x: bit: "1" 57561-57567 rgb_led_ws281x: bit: "1" @@ -6898,6 +7723,9 @@ 57684-57690 rgb_led_ws281x: bit: "1" 57690-57697 rgb_led_ws281x: bit: "1" 57697-57703 rgb_led_ws281x: bit: "1" +57555-57604 rgb_led_ws281x: g: "ef" +57604-57654 rgb_led_ws281x: r: "00" +57654-57703 rgb_led_ws281x: b: "7f" 57555-57703 rgb_led_ws281x: rgb: "#00ef7f" 57703-57709 rgb_led_ws281x: bit: "1" 57709-57716 rgb_led_ws281x: bit: "1" @@ -6923,6 +7751,9 @@ 57832-57839 rgb_led_ws281x: bit: "1" 57839-57845 rgb_led_ws281x: bit: "1" 57845-57851 rgb_led_ws281x: bit: "1" +57703-57752 rgb_led_ws281x: g: "df" +57752-57802 rgb_led_ws281x: r: "00" +57802-57851 rgb_led_ws281x: b: "ff" 57703-57851 rgb_led_ws281x: rgb: "#00dfff" 57851-57858 rgb_led_ws281x: bit: "1" 57858-57864 rgb_led_ws281x: bit: "0" @@ -6948,6 +7779,9 @@ 57981-57987 rgb_led_ws281x: bit: "0" 57987-57993 rgb_led_ws281x: bit: "1" 57993-58000 rgb_led_ws281x: bit: "1" +57851-57901 rgb_led_ws281x: g: "bf" +57901-57950 rgb_led_ws281x: r: "00" +57950-58000 rgb_led_ws281x: b: "fb" 57851-58000 rgb_led_ws281x: rgb: "#00bffb" 58000-58006 rgb_led_ws281x: bit: "0" 58006-58012 rgb_led_ws281x: bit: "1" @@ -6973,6 +7807,9 @@ 58129-58135 rgb_led_ws281x: bit: "1" 58135-58141 rgb_led_ws281x: bit: "1" 58141-58148 rgb_led_ws281x: bit: "1" +58000-58049 rgb_led_ws281x: g: "7e" +58049-58098 rgb_led_ws281x: r: "00" +58098-58148 rgb_led_ws281x: b: "f7" 58000-58148 rgb_led_ws281x: rgb: "#007ef7" 58148-58154 rgb_led_ws281x: bit: "1" 58154-58160 rgb_led_ws281x: bit: "1" @@ -6998,6 +7835,9 @@ 58277-58283 rgb_led_ws281x: bit: "1" 58283-58289 rgb_led_ws281x: bit: "1" 58289-58296 rgb_led_ws281x: bit: "1" +58148-58197 rgb_led_ws281x: g: "fd" +58197-58246 rgb_led_ws281x: r: "00" +58246-58296 rgb_led_ws281x: b: "ef" 58148-58296 rgb_led_ws281x: rgb: "#00fdef" 58296-58302 rgb_led_ws281x: bit: "1" 58302-58308 rgb_led_ws281x: bit: "1" @@ -7023,6 +7863,9 @@ 58425-58431 rgb_led_ws281x: bit: "1" 58431-58437 rgb_led_ws281x: bit: "1" 58437-58444 rgb_led_ws281x: bit: "1" +58296-58345 rgb_led_ws281x: g: "fb" +58345-58394 rgb_led_ws281x: r: "00" +58394-58444 rgb_led_ws281x: b: "df" 58296-58444 rgb_led_ws281x: rgb: "#00fbdf" 58444-58450 rgb_led_ws281x: bit: "1" 58450-58456 rgb_led_ws281x: bit: "1" @@ -7048,6 +7891,9 @@ 58573-58579 rgb_led_ws281x: bit: "1" 58579-58585 rgb_led_ws281x: bit: "1" 58585-58592 rgb_led_ws281x: bit: "1" +58444-58493 rgb_led_ws281x: g: "ff" +58493-58542 rgb_led_ws281x: r: "00" +58542-58592 rgb_led_ws281x: b: "df" 58444-58592 rgb_led_ws281x: rgb: "#00ffdf" 58592-58598 rgb_led_ws281x: bit: "1" 58598-58604 rgb_led_ws281x: bit: "1" @@ -7073,6 +7919,9 @@ 58721-58727 rgb_led_ws281x: bit: "1" 58727-58734 rgb_led_ws281x: bit: "1" 58734-58740 rgb_led_ws281x: bit: "0" +58592-58641 rgb_led_ws281x: g: "f7" +58641-58690 rgb_led_ws281x: r: "00" +58690-58740 rgb_led_ws281x: b: "be" 58592-58740 rgb_led_ws281x: rgb: "#00f7be" 58740-58746 rgb_led_ws281x: bit: "1" 58746-58752 rgb_led_ws281x: bit: "1" @@ -7098,6 +7947,9 @@ 58869-58876 rgb_led_ws281x: bit: "1" 58876-58882 rgb_led_ws281x: bit: "0" 58882-58888 rgb_led_ws281x: bit: "1" +58740-58789 rgb_led_ws281x: g: "ef" +58789-58839 rgb_led_ws281x: r: "00" +58839-58888 rgb_led_ws281x: b: "7d" 58740-58888 rgb_led_ws281x: rgb: "#00ef7d" 58888-58894 rgb_led_ws281x: bit: "1" 58894-58901 rgb_led_ws281x: bit: "1" @@ -7123,6 +7975,9 @@ 59017-59024 rgb_led_ws281x: bit: "1" 59024-59030 rgb_led_ws281x: bit: "1" 59030-59036 rgb_led_ws281x: bit: "1" +58888-58937 rgb_led_ws281x: g: "df" +58937-58987 rgb_led_ws281x: r: "00" +58987-59036 rgb_led_ws281x: b: "ff" 58888-59036 rgb_led_ws281x: rgb: "#00dfff" 59036-59043 rgb_led_ws281x: bit: "1" 59043-59049 rgb_led_ws281x: bit: "0" @@ -7148,6 +8003,9 @@ 59166-59172 rgb_led_ws281x: bit: "0" 59172-59178 rgb_led_ws281x: bit: "1" 59178-59185 rgb_led_ws281x: bit: "1" +59036-59086 rgb_led_ws281x: g: "bf" +59086-59135 rgb_led_ws281x: r: "00" +59135-59185 rgb_led_ws281x: b: "fb" 59036-59185 rgb_led_ws281x: rgb: "#00bffb" 59185-59191 rgb_led_ws281x: bit: "0" 59191-59197 rgb_led_ws281x: bit: "1" @@ -7173,6 +8031,9 @@ 59314-59320 rgb_led_ws281x: bit: "1" 59320-59326 rgb_led_ws281x: bit: "1" 59326-59333 rgb_led_ws281x: bit: "1" +59185-59234 rgb_led_ws281x: g: "7e" +59234-59283 rgb_led_ws281x: r: "00" +59283-59333 rgb_led_ws281x: b: "f7" 59185-59333 rgb_led_ws281x: rgb: "#007ef7" 59333-59339 rgb_led_ws281x: bit: "1" 59339-59345 rgb_led_ws281x: bit: "1" @@ -7198,6 +8059,9 @@ 59462-59468 rgb_led_ws281x: bit: "1" 59468-59474 rgb_led_ws281x: bit: "1" 59474-59481 rgb_led_ws281x: bit: "1" +59333-59382 rgb_led_ws281x: g: "fd" +59382-59431 rgb_led_ws281x: r: "00" +59431-59481 rgb_led_ws281x: b: "ef" 59333-59481 rgb_led_ws281x: rgb: "#00fdef" 59481-59487 rgb_led_ws281x: bit: "1" 59487-59493 rgb_led_ws281x: bit: "1" @@ -7223,6 +8087,9 @@ 59610-59616 rgb_led_ws281x: bit: "1" 59616-59622 rgb_led_ws281x: bit: "1" 59622-59629 rgb_led_ws281x: bit: "1" +59481-59530 rgb_led_ws281x: g: "fb" +59530-59579 rgb_led_ws281x: r: "00" +59579-59629 rgb_led_ws281x: b: "df" 59481-59629 rgb_led_ws281x: rgb: "#00fbdf" 59629-59635 rgb_led_ws281x: bit: "1" 59635-59641 rgb_led_ws281x: bit: "1" @@ -7248,6 +8115,9 @@ 59758-59764 rgb_led_ws281x: bit: "1" 59764-59770 rgb_led_ws281x: bit: "1" 59770-59777 rgb_led_ws281x: bit: "1" +59629-59678 rgb_led_ws281x: g: "ff" +59678-59727 rgb_led_ws281x: r: "00" +59727-59777 rgb_led_ws281x: b: "bf" 59629-59777 rgb_led_ws281x: rgb: "#00ffbf" 59777-59783 rgb_led_ws281x: bit: "1" 59783-59789 rgb_led_ws281x: bit: "1" @@ -7273,6 +8143,9 @@ 59906-59912 rgb_led_ws281x: bit: "1" 59912-59919 rgb_led_ws281x: bit: "1" 59919-59925 rgb_led_ws281x: bit: "0" +59777-59826 rgb_led_ws281x: g: "f7" +59826-59875 rgb_led_ws281x: r: "00" +59875-59925 rgb_led_ws281x: b: "be" 59777-59925 rgb_led_ws281x: rgb: "#00f7be" 59925-59931 rgb_led_ws281x: bit: "1" 59931-59937 rgb_led_ws281x: bit: "1" @@ -7298,6 +8171,9 @@ 60054-60061 rgb_led_ws281x: bit: "1" 60061-60067 rgb_led_ws281x: bit: "0" 60067-60073 rgb_led_ws281x: bit: "1" +59925-59974 rgb_led_ws281x: g: "ef" +59974-60024 rgb_led_ws281x: r: "00" +60024-60073 rgb_led_ws281x: b: "7d" 59925-60073 rgb_led_ws281x: rgb: "#00ef7d" 60073-60079 rgb_led_ws281x: bit: "1" 60079-60086 rgb_led_ws281x: bit: "1" @@ -7323,6 +8199,9 @@ 60202-60209 rgb_led_ws281x: bit: "1" 60209-60215 rgb_led_ws281x: bit: "1" 60215-60221 rgb_led_ws281x: bit: "1" +60073-60123 rgb_led_ws281x: g: "df" +60123-60172 rgb_led_ws281x: r: "00" +60172-60221 rgb_led_ws281x: b: "ff" 60073-60221 rgb_led_ws281x: rgb: "#00dfff" 60221-60228 rgb_led_ws281x: bit: "1" 60228-60234 rgb_led_ws281x: bit: "0" @@ -7348,6 +8227,9 @@ 60351-60357 rgb_led_ws281x: bit: "1" 60357-60363 rgb_led_ws281x: bit: "1" 60363-60370 rgb_led_ws281x: bit: "1" +60221-60271 rgb_led_ws281x: g: "bf" +60271-60320 rgb_led_ws281x: r: "00" +60320-60370 rgb_led_ws281x: b: "ff" 60221-60370 rgb_led_ws281x: rgb: "#00bfff" 60370-60376 rgb_led_ws281x: bit: "0" 60376-60382 rgb_led_ws281x: bit: "1" @@ -7373,6 +8255,9 @@ 60499-60505 rgb_led_ws281x: bit: "1" 60505-60511 rgb_led_ws281x: bit: "1" 60511-60518 rgb_led_ws281x: bit: "1" +60370-60419 rgb_led_ws281x: g: "7e" +60419-60468 rgb_led_ws281x: r: "00" +60468-60518 rgb_led_ws281x: b: "f7" 60370-60518 rgb_led_ws281x: rgb: "#007ef7" 60518-60524 rgb_led_ws281x: bit: "1" 60524-60530 rgb_led_ws281x: bit: "1" @@ -7398,6 +8283,9 @@ 60647-60653 rgb_led_ws281x: bit: "1" 60653-60659 rgb_led_ws281x: bit: "1" 60659-60666 rgb_led_ws281x: bit: "1" +60518-60567 rgb_led_ws281x: g: "fd" +60567-60616 rgb_led_ws281x: r: "00" +60616-60666 rgb_led_ws281x: b: "ef" 60518-60666 rgb_led_ws281x: rgb: "#00fdef" 60666-60672 rgb_led_ws281x: bit: "1" 60672-60678 rgb_led_ws281x: bit: "1" @@ -7423,6 +8311,9 @@ 60795-60801 rgb_led_ws281x: bit: "1" 60801-60807 rgb_led_ws281x: bit: "1" 60807-60814 rgb_led_ws281x: bit: "1" +60666-60715 rgb_led_ws281x: g: "fb" +60715-60764 rgb_led_ws281x: r: "00" +60764-60814 rgb_led_ws281x: b: "df" 60666-60814 rgb_led_ws281x: rgb: "#00fbdf" 60814-60820 rgb_led_ws281x: bit: "1" 60820-60826 rgb_led_ws281x: bit: "1" @@ -7448,6 +8339,9 @@ 60943-60949 rgb_led_ws281x: bit: "1" 60949-60955 rgb_led_ws281x: bit: "1" 60955-60962 rgb_led_ws281x: bit: "1" +60814-60863 rgb_led_ws281x: g: "f7" +60863-60912 rgb_led_ws281x: r: "00" +60912-60962 rgb_led_ws281x: b: "bf" 60814-60962 rgb_led_ws281x: rgb: "#00f7bf" 60962-60968 rgb_led_ws281x: bit: "1" 60968-60974 rgb_led_ws281x: bit: "1" @@ -7473,6 +8367,9 @@ 61091-61097 rgb_led_ws281x: bit: "1" 61097-61104 rgb_led_ws281x: bit: "1" 61104-61110 rgb_led_ws281x: bit: "0" +60962-61011 rgb_led_ws281x: g: "ff" +61011-61060 rgb_led_ws281x: r: "00" +61060-61110 rgb_led_ws281x: b: "fe" 60962-61110 rgb_led_ws281x: rgb: "#00fffe" 61110-61116 rgb_led_ws281x: bit: "1" 61116-61122 rgb_led_ws281x: bit: "1" @@ -7498,6 +8395,9 @@ 61239-61246 rgb_led_ws281x: bit: "1" 61246-61252 rgb_led_ws281x: bit: "0" 61252-61258 rgb_led_ws281x: bit: "1" +61110-61159 rgb_led_ws281x: g: "ef" +61159-61209 rgb_led_ws281x: r: "00" +61209-61258 rgb_led_ws281x: b: "7d" 61110-61258 rgb_led_ws281x: rgb: "#00ef7d" 61258-61264 rgb_led_ws281x: bit: "1" 61264-61271 rgb_led_ws281x: bit: "1" @@ -7523,6 +8423,9 @@ 61388-61394 rgb_led_ws281x: bit: "0" 61394-61400 rgb_led_ws281x: bit: "1" 61400-61406 rgb_led_ws281x: bit: "1" +61258-61308 rgb_led_ws281x: g: "df" +61308-61357 rgb_led_ws281x: r: "00" +61357-61406 rgb_led_ws281x: b: "fb" 61258-61406 rgb_led_ws281x: rgb: "#00dffb" 61406-61413 rgb_led_ws281x: bit: "1" 61413-61419 rgb_led_ws281x: bit: "0" @@ -7548,6 +8451,9 @@ 61536-61542 rgb_led_ws281x: bit: "1" 61542-61548 rgb_led_ws281x: bit: "1" 61548-61555 rgb_led_ws281x: bit: "1" +61406-61456 rgb_led_ws281x: g: "bf" +61456-61505 rgb_led_ws281x: r: "00" +61505-61555 rgb_led_ws281x: b: "ff" 61406-61555 rgb_led_ws281x: rgb: "#00bfff" 61555-61561 rgb_led_ws281x: bit: "0" 61561-61567 rgb_led_ws281x: bit: "1" @@ -7573,6 +8479,9 @@ 61684-61690 rgb_led_ws281x: bit: "1" 61690-61696 rgb_led_ws281x: bit: "1" 61696-61703 rgb_led_ws281x: bit: "1" +61555-61604 rgb_led_ws281x: g: "7f" +61604-61653 rgb_led_ws281x: r: "00" +61653-61703 rgb_led_ws281x: b: "f7" 61555-61703 rgb_led_ws281x: rgb: "#007ff7" 61703-61709 rgb_led_ws281x: bit: "1" 61709-61715 rgb_led_ws281x: bit: "1" @@ -7598,6 +8507,9 @@ 61832-61838 rgb_led_ws281x: bit: "1" 61838-61844 rgb_led_ws281x: bit: "1" 61844-61851 rgb_led_ws281x: bit: "1" +61703-61752 rgb_led_ws281x: g: "fd" +61752-61801 rgb_led_ws281x: r: "00" +61801-61851 rgb_led_ws281x: b: "ef" 61703-61851 rgb_led_ws281x: rgb: "#00fdef" 61851-61857 rgb_led_ws281x: bit: "1" 61857-61863 rgb_led_ws281x: bit: "1" @@ -7623,6 +8535,9 @@ 61980-61986 rgb_led_ws281x: bit: "1" 61986-61992 rgb_led_ws281x: bit: "1" 61992-61999 rgb_led_ws281x: bit: "1" +61851-61900 rgb_led_ws281x: g: "fb" +61900-61949 rgb_led_ws281x: r: "00" +61949-61999 rgb_led_ws281x: b: "df" 61851-61999 rgb_led_ws281x: rgb: "#00fbdf" 61999-62005 rgb_led_ws281x: bit: "1" 62005-62011 rgb_led_ws281x: bit: "1" @@ -7648,6 +8563,9 @@ 62128-62134 rgb_led_ws281x: bit: "1" 62134-62140 rgb_led_ws281x: bit: "1" 62140-62147 rgb_led_ws281x: bit: "1" +61999-62048 rgb_led_ws281x: g: "f7" +62048-62097 rgb_led_ws281x: r: "00" +62097-62147 rgb_led_ws281x: b: "bf" 61999-62147 rgb_led_ws281x: rgb: "#00f7bf" 62147-62153 rgb_led_ws281x: bit: "1" 62153-62159 rgb_led_ws281x: bit: "1" @@ -7673,6 +8591,9 @@ 62276-62282 rgb_led_ws281x: bit: "1" 62282-62289 rgb_led_ws281x: bit: "1" 62289-62295 rgb_led_ws281x: bit: "0" +62147-62196 rgb_led_ws281x: g: "ef" +62196-62246 rgb_led_ws281x: r: "00" +62246-62295 rgb_led_ws281x: b: "7e" 62147-62295 rgb_led_ws281x: rgb: "#00ef7e" 62295-62301 rgb_led_ws281x: bit: "1" 62301-62307 rgb_led_ws281x: bit: "1" @@ -7698,6 +8619,9 @@ 62424-62431 rgb_led_ws281x: bit: "1" 62431-62437 rgb_led_ws281x: bit: "0" 62437-62443 rgb_led_ws281x: bit: "1" +62295-62344 rgb_led_ws281x: g: "ff" +62344-62394 rgb_led_ws281x: r: "00" +62394-62443 rgb_led_ws281x: b: "fd" 62295-62443 rgb_led_ws281x: rgb: "#00fffd" 62443-62449 rgb_led_ws281x: bit: "1" 62449-62456 rgb_led_ws281x: bit: "1" @@ -7723,6 +8647,9 @@ 62573-62579 rgb_led_ws281x: bit: "0" 62579-62585 rgb_led_ws281x: bit: "1" 62585-62591 rgb_led_ws281x: bit: "1" +62443-62493 rgb_led_ws281x: g: "df" +62493-62542 rgb_led_ws281x: r: "00" +62542-62591 rgb_led_ws281x: b: "fb" 62443-62591 rgb_led_ws281x: rgb: "#00dffb" 62591-62598 rgb_led_ws281x: bit: "1" 62598-62604 rgb_led_ws281x: bit: "0" @@ -7748,6 +8675,9 @@ 62721-62727 rgb_led_ws281x: bit: "1" 62727-62733 rgb_led_ws281x: bit: "1" 62733-62740 rgb_led_ws281x: bit: "1" +62591-62641 rgb_led_ws281x: g: "be" +62641-62690 rgb_led_ws281x: r: "00" +62690-62740 rgb_led_ws281x: b: "ff" 62591-62740 rgb_led_ws281x: rgb: "#00beff" 62740-62746 rgb_led_ws281x: bit: "0" 62746-62752 rgb_led_ws281x: bit: "1" @@ -7773,6 +8703,9 @@ 62869-62875 rgb_led_ws281x: bit: "1" 62875-62881 rgb_led_ws281x: bit: "1" 62881-62888 rgb_led_ws281x: bit: "1" +62740-62789 rgb_led_ws281x: g: "7f" +62789-62838 rgb_led_ws281x: r: "00" +62838-62888 rgb_led_ws281x: b: "f7" 62740-62888 rgb_led_ws281x: rgb: "#007ff7" 62888-62894 rgb_led_ws281x: bit: "1" 62894-62900 rgb_led_ws281x: bit: "1" @@ -7798,6 +8731,9 @@ 63017-63023 rgb_led_ws281x: bit: "1" 63023-63029 rgb_led_ws281x: bit: "1" 63029-63036 rgb_led_ws281x: bit: "1" +62888-62937 rgb_led_ws281x: g: "fd" +62937-62986 rgb_led_ws281x: r: "00" +62986-63036 rgb_led_ws281x: b: "ef" 62888-63036 rgb_led_ws281x: rgb: "#00fdef" 63036-63042 rgb_led_ws281x: bit: "1" 63042-63048 rgb_led_ws281x: bit: "1" @@ -7823,6 +8759,9 @@ 63165-63171 rgb_led_ws281x: bit: "1" 63171-63177 rgb_led_ws281x: bit: "1" 63177-63184 rgb_led_ws281x: bit: "1" +63036-63085 rgb_led_ws281x: g: "fb" +63085-63134 rgb_led_ws281x: r: "00" +63134-63184 rgb_led_ws281x: b: "df" 63036-63184 rgb_led_ws281x: rgb: "#00fbdf" 63184-63190 rgb_led_ws281x: bit: "1" 63190-63196 rgb_led_ws281x: bit: "1" @@ -7848,6 +8787,9 @@ 63313-63319 rgb_led_ws281x: bit: "1" 63319-63325 rgb_led_ws281x: bit: "1" 63325-63332 rgb_led_ws281x: bit: "1" +63184-63233 rgb_led_ws281x: g: "f7" +63233-63282 rgb_led_ws281x: r: "00" +63282-63332 rgb_led_ws281x: b: "bf" 63184-63332 rgb_led_ws281x: rgb: "#00f7bf" 63332-63338 rgb_led_ws281x: bit: "1" 63338-63344 rgb_led_ws281x: bit: "1" @@ -7873,6 +8815,9 @@ 63461-63467 rgb_led_ws281x: bit: "1" 63467-63474 rgb_led_ws281x: bit: "1" 63474-63480 rgb_led_ws281x: bit: "0" +63332-63381 rgb_led_ws281x: g: "ef" +63381-63431 rgb_led_ws281x: r: "00" +63431-63480 rgb_led_ws281x: b: "7e" 63332-63480 rgb_led_ws281x: rgb: "#00ef7e" 63480-63486 rgb_led_ws281x: bit: "1" 63486-63492 rgb_led_ws281x: bit: "1" @@ -7898,6 +8843,9 @@ 63609-63616 rgb_led_ws281x: bit: "1" 63616-63622 rgb_led_ws281x: bit: "0" 63622-63628 rgb_led_ws281x: bit: "1" +63480-63529 rgb_led_ws281x: g: "ff" +63529-63579 rgb_led_ws281x: r: "00" +63579-63628 rgb_led_ws281x: b: "fd" 63480-63628 rgb_led_ws281x: rgb: "#00fffd" 63628-63634 rgb_led_ws281x: bit: "1" 63634-63641 rgb_led_ws281x: bit: "1" @@ -7923,6 +8871,9 @@ 63758-63764 rgb_led_ws281x: bit: "0" 63764-63770 rgb_led_ws281x: bit: "1" 63770-63776 rgb_led_ws281x: bit: "1" +63628-63678 rgb_led_ws281x: g: "ff" +63678-63727 rgb_led_ws281x: r: "00" +63727-63776 rgb_led_ws281x: b: "fb" 63628-63776 rgb_led_ws281x: rgb: "#00fffb" 63776-63783 rgb_led_ws281x: bit: "1" 63783-63789 rgb_led_ws281x: bit: "0" @@ -7948,6 +8899,9 @@ 63906-63912 rgb_led_ws281x: bit: "1" 63912-63918 rgb_led_ws281x: bit: "1" 63918-63925 rgb_led_ws281x: bit: "1" +63776-63826 rgb_led_ws281x: g: "be" +63826-63875 rgb_led_ws281x: r: "00" +63875-63925 rgb_led_ws281x: b: "f7" 63776-63925 rgb_led_ws281x: rgb: "#00bef7" 63925-63931 rgb_led_ws281x: bit: "0" 63931-63937 rgb_led_ws281x: bit: "1" @@ -7973,6 +8927,9 @@ 64054-64060 rgb_led_ws281x: bit: "1" 64060-64066 rgb_led_ws281x: bit: "1" 64066-64073 rgb_led_ws281x: bit: "1" +63925-63974 rgb_led_ws281x: g: "7d" +63974-64023 rgb_led_ws281x: r: "00" +64023-64073 rgb_led_ws281x: b: "f7" 63925-64073 rgb_led_ws281x: rgb: "#007df7" 64073-64079 rgb_led_ws281x: bit: "1" 64079-64085 rgb_led_ws281x: bit: "1" @@ -7998,6 +8955,9 @@ 64202-64208 rgb_led_ws281x: bit: "1" 64208-64214 rgb_led_ws281x: bit: "1" 64214-64221 rgb_led_ws281x: bit: "1" +64073-64122 rgb_led_ws281x: g: "ff" +64122-64171 rgb_led_ws281x: r: "00" +64171-64221 rgb_led_ws281x: b: "ef" 64073-64221 rgb_led_ws281x: rgb: "#00ffef" 64221-64227 rgb_led_ws281x: bit: "1" 64227-64233 rgb_led_ws281x: bit: "1" @@ -8023,6 +8983,9 @@ 64350-64356 rgb_led_ws281x: bit: "1" 64356-64362 rgb_led_ws281x: bit: "1" 64362-64369 rgb_led_ws281x: bit: "1" +64221-64270 rgb_led_ws281x: g: "fb" +64270-64319 rgb_led_ws281x: r: "00" +64319-64369 rgb_led_ws281x: b: "df" 64221-64369 rgb_led_ws281x: rgb: "#00fbdf" 64369-64375 rgb_led_ws281x: bit: "1" 64375-64381 rgb_led_ws281x: bit: "1" @@ -8048,6 +9011,9 @@ 64498-64504 rgb_led_ws281x: bit: "1" 64504-64510 rgb_led_ws281x: bit: "1" 64510-64517 rgb_led_ws281x: bit: "1" +64369-64418 rgb_led_ws281x: g: "f7" +64418-64467 rgb_led_ws281x: r: "00" +64467-64517 rgb_led_ws281x: b: "bf" 64369-64517 rgb_led_ws281x: rgb: "#00f7bf" 64517-64523 rgb_led_ws281x: bit: "1" 64523-64529 rgb_led_ws281x: bit: "1" @@ -8073,6 +9039,9 @@ 64646-64652 rgb_led_ws281x: bit: "1" 64652-64659 rgb_led_ws281x: bit: "1" 64659-64665 rgb_led_ws281x: bit: "0" +64517-64566 rgb_led_ws281x: g: "ef" +64566-64616 rgb_led_ws281x: r: "00" +64616-64665 rgb_led_ws281x: b: "7e" 64517-64665 rgb_led_ws281x: rgb: "#00ef7e" 64665-64671 rgb_led_ws281x: bit: "1" 64671-64678 rgb_led_ws281x: bit: "1" @@ -8098,6 +9067,9 @@ 64794-64801 rgb_led_ws281x: bit: "1" 64801-64807 rgb_led_ws281x: bit: "0" 64807-64813 rgb_led_ws281x: bit: "1" +64665-64714 rgb_led_ws281x: g: "df" +64714-64764 rgb_led_ws281x: r: "00" +64764-64813 rgb_led_ws281x: b: "fd" 64665-64813 rgb_led_ws281x: rgb: "#00dffd" 64813-64819 rgb_led_ws281x: bit: "1" 64819-64826 rgb_led_ws281x: bit: "1" @@ -8123,6 +9095,9 @@ 64943-64949 rgb_led_ws281x: bit: "0" 64949-64955 rgb_led_ws281x: bit: "1" 64955-64961 rgb_led_ws281x: bit: "1" +64813-64863 rgb_led_ws281x: g: "ff" +64863-64912 rgb_led_ws281x: r: "00" +64912-64961 rgb_led_ws281x: b: "fb" 64813-64961 rgb_led_ws281x: rgb: "#00fffb" 64961-64968 rgb_led_ws281x: bit: "1" 64968-64974 rgb_led_ws281x: bit: "1" @@ -8148,6 +9123,9 @@ 65091-65097 rgb_led_ws281x: bit: "1" 65097-65103 rgb_led_ws281x: bit: "1" 65103-65110 rgb_led_ws281x: bit: "1" +64961-65011 rgb_led_ws281x: g: "fe" +65011-65060 rgb_led_ws281x: r: "00" +65060-65110 rgb_led_ws281x: b: "f7" 64961-65110 rgb_led_ws281x: rgb: "#00fef7" 65110-65116 rgb_led_ws281x: bit: "0" 65116-65122 rgb_led_ws281x: bit: "1" @@ -8173,6 +9151,9 @@ 65239-65245 rgb_led_ws281x: bit: "1" 65245-65251 rgb_led_ws281x: bit: "1" 65251-65258 rgb_led_ws281x: bit: "1" +65110-65159 rgb_led_ws281x: g: "7d" +65159-65208 rgb_led_ws281x: r: "00" +65208-65258 rgb_led_ws281x: b: "ff" 65110-65258 rgb_led_ws281x: rgb: "#007dff" 65258-65264 rgb_led_ws281x: bit: "1" 65264-65270 rgb_led_ws281x: bit: "1" @@ -8198,6 +9179,9 @@ 65387-65393 rgb_led_ws281x: bit: "1" 65393-65399 rgb_led_ws281x: bit: "1" 65399-65406 rgb_led_ws281x: bit: "1" +65258-65307 rgb_led_ws281x: g: "ff" +65307-65356 rgb_led_ws281x: r: "00" +65356-65406 rgb_led_ws281x: b: "ef" 65258-65406 rgb_led_ws281x: rgb: "#00ffef" 65406-65412 rgb_led_ws281x: bit: "1" 65412-65418 rgb_led_ws281x: bit: "1" @@ -8223,6 +9207,9 @@ 65535-65541 rgb_led_ws281x: bit: "1" 65541-65547 rgb_led_ws281x: bit: "1" 65547-65554 rgb_led_ws281x: bit: "1" +65406-65455 rgb_led_ws281x: g: "ff" +65455-65504 rgb_led_ws281x: r: "00" +65504-65554 rgb_led_ws281x: b: "df" 65406-65554 rgb_led_ws281x: rgb: "#00ffdf" 65554-65560 rgb_led_ws281x: bit: "1" 65560-65566 rgb_led_ws281x: bit: "1" @@ -8248,6 +9235,9 @@ 65683-65689 rgb_led_ws281x: bit: "1" 65689-65695 rgb_led_ws281x: bit: "1" 65695-65702 rgb_led_ws281x: bit: "1" +65554-65603 rgb_led_ws281x: g: "f7" +65603-65652 rgb_led_ws281x: r: "00" +65652-65702 rgb_led_ws281x: b: "bf" 65554-65702 rgb_led_ws281x: rgb: "#00f7bf" 65702-65708 rgb_led_ws281x: bit: "1" 65708-65714 rgb_led_ws281x: bit: "1" @@ -8273,6 +9263,9 @@ 65831-65837 rgb_led_ws281x: bit: "1" 65837-65844 rgb_led_ws281x: bit: "1" 65844-65850 rgb_led_ws281x: bit: "0" +65702-65751 rgb_led_ws281x: g: "ef" +65751-65801 rgb_led_ws281x: r: "00" +65801-65850 rgb_led_ws281x: b: "7e" 65702-65850 rgb_led_ws281x: rgb: "#00ef7e" 65850-65856 rgb_led_ws281x: bit: "1" 65856-65863 rgb_led_ws281x: bit: "1" @@ -8298,6 +9291,9 @@ 65979-65986 rgb_led_ws281x: bit: "1" 65986-65992 rgb_led_ws281x: bit: "0" 65992-65998 rgb_led_ws281x: bit: "1" +65850-65899 rgb_led_ws281x: g: "df" +65899-65949 rgb_led_ws281x: r: "00" +65949-65998 rgb_led_ws281x: b: "fd" 65850-65998 rgb_led_ws281x: rgb: "#00dffd" 65998-66005 rgb_led_ws281x: bit: "1" 66005-66011 rgb_led_ws281x: bit: "0" @@ -8323,6 +9319,9 @@ 66128-66134 rgb_led_ws281x: bit: "0" 66134-66140 rgb_led_ws281x: bit: "1" 66140-66146 rgb_led_ws281x: bit: "1" +65998-66048 rgb_led_ws281x: g: "bf" +66048-66097 rgb_led_ws281x: r: "00" +66097-66146 rgb_led_ws281x: b: "fb" 65998-66146 rgb_led_ws281x: rgb: "#00bffb" 66146-66153 rgb_led_ws281x: bit: "1" 66153-66159 rgb_led_ws281x: bit: "1" @@ -8348,6 +9347,9 @@ 66276-66282 rgb_led_ws281x: bit: "1" 66282-66288 rgb_led_ws281x: bit: "1" 66288-66295 rgb_led_ws281x: bit: "1" +66146-66196 rgb_led_ws281x: g: "fe" +66196-66245 rgb_led_ws281x: r: "00" +66245-66295 rgb_led_ws281x: b: "f7" 66146-66295 rgb_led_ws281x: rgb: "#00fef7" 66295-66301 rgb_led_ws281x: bit: "0" 66301-66307 rgb_led_ws281x: bit: "1" @@ -8373,6 +9375,9 @@ 66424-66430 rgb_led_ws281x: bit: "1" 66430-66436 rgb_led_ws281x: bit: "1" 66436-66443 rgb_led_ws281x: bit: "1" +66295-66344 rgb_led_ws281x: g: "7d" +66344-66393 rgb_led_ws281x: r: "00" +66393-66443 rgb_led_ws281x: b: "ef" 66295-66443 rgb_led_ws281x: rgb: "#007def" 66443-66449 rgb_led_ws281x: bit: "1" 66449-66455 rgb_led_ws281x: bit: "1" @@ -8398,6 +9403,9 @@ 66572-66578 rgb_led_ws281x: bit: "1" 66578-66584 rgb_led_ws281x: bit: "1" 66584-66591 rgb_led_ws281x: bit: "1" +66443-66492 rgb_led_ws281x: g: "ff" +66492-66541 rgb_led_ws281x: r: "00" +66541-66591 rgb_led_ws281x: b: "ff" 66443-66591 rgb_led_ws281x: rgb: "#00ffff" 66591-66597 rgb_led_ws281x: bit: "1" 66597-66603 rgb_led_ws281x: bit: "1" @@ -8423,6 +9431,9 @@ 66720-66726 rgb_led_ws281x: bit: "1" 66726-66732 rgb_led_ws281x: bit: "1" 66732-66739 rgb_led_ws281x: bit: "1" +66591-66640 rgb_led_ws281x: g: "ff" +66640-66689 rgb_led_ws281x: r: "00" +66689-66739 rgb_led_ws281x: b: "df" 66591-66739 rgb_led_ws281x: rgb: "#00ffdf" 66739-66745 rgb_led_ws281x: bit: "1" 66745-66751 rgb_led_ws281x: bit: "1" @@ -8448,6 +9459,9 @@ 66868-66874 rgb_led_ws281x: bit: "1" 66874-66881 rgb_led_ws281x: bit: "1" 66881-66887 rgb_led_ws281x: bit: "0" +66739-66788 rgb_led_ws281x: g: "f7" +66788-66837 rgb_led_ws281x: r: "00" +66837-66887 rgb_led_ws281x: b: "be" 66739-66887 rgb_led_ws281x: rgb: "#00f7be" 66887-66893 rgb_led_ws281x: bit: "1" 66893-66899 rgb_led_ws281x: bit: "1" @@ -8473,6 +9487,9 @@ 67016-67022 rgb_led_ws281x: bit: "1" 67022-67029 rgb_led_ws281x: bit: "1" 67029-67035 rgb_led_ws281x: bit: "1" +66887-66936 rgb_led_ws281x: g: "ef" +66936-66986 rgb_led_ws281x: r: "00" +66986-67035 rgb_led_ws281x: b: "7f" 66887-67035 rgb_led_ws281x: rgb: "#00ef7f" 67035-67041 rgb_led_ws281x: bit: "1" 67041-67048 rgb_led_ws281x: bit: "1" @@ -8498,6 +9515,9 @@ 67164-67171 rgb_led_ws281x: bit: "1" 67171-67177 rgb_led_ws281x: bit: "0" 67177-67183 rgb_led_ws281x: bit: "1" +67035-67084 rgb_led_ws281x: g: "df" +67084-67134 rgb_led_ws281x: r: "00" +67134-67183 rgb_led_ws281x: b: "fd" 67035-67183 rgb_led_ws281x: rgb: "#00dffd" 67183-67190 rgb_led_ws281x: bit: "1" 67190-67196 rgb_led_ws281x: bit: "0" @@ -8523,6 +9543,9 @@ 67313-67319 rgb_led_ws281x: bit: "0" 67319-67325 rgb_led_ws281x: bit: "1" 67325-67331 rgb_led_ws281x: bit: "1" +67183-67233 rgb_led_ws281x: g: "bf" +67233-67282 rgb_led_ws281x: r: "00" +67282-67331 rgb_led_ws281x: b: "fb" 67183-67331 rgb_led_ws281x: rgb: "#00bffb" 67331-67338 rgb_led_ws281x: bit: "1" 67338-67344 rgb_led_ws281x: bit: "1" @@ -8548,6 +9571,9 @@ 67461-67467 rgb_led_ws281x: bit: "1" 67467-67473 rgb_led_ws281x: bit: "1" 67473-67480 rgb_led_ws281x: bit: "1" +67331-67381 rgb_led_ws281x: g: "fe" +67381-67430 rgb_led_ws281x: r: "00" +67430-67480 rgb_led_ws281x: b: "f7" 67331-67480 rgb_led_ws281x: rgb: "#00fef7" 67480-67486 rgb_led_ws281x: bit: "1" 67486-67492 rgb_led_ws281x: bit: "1" @@ -8573,6 +9599,9 @@ 67609-67615 rgb_led_ws281x: bit: "1" 67615-67621 rgb_led_ws281x: bit: "1" 67621-67628 rgb_led_ws281x: bit: "1" +67480-67529 rgb_led_ws281x: g: "fd" +67529-67578 rgb_led_ws281x: r: "00" +67578-67628 rgb_led_ws281x: b: "ef" 67480-67628 rgb_led_ws281x: rgb: "#00fdef" 67628-67634 rgb_led_ws281x: bit: "1" 67634-67640 rgb_led_ws281x: bit: "1" @@ -8598,6 +9627,9 @@ 67757-67763 rgb_led_ws281x: bit: "1" 67763-67769 rgb_led_ws281x: bit: "1" 67769-67776 rgb_led_ws281x: bit: "1" +67628-67677 rgb_led_ws281x: g: "ff" +67677-67726 rgb_led_ws281x: r: "00" +67726-67776 rgb_led_ws281x: b: "df" 67628-67776 rgb_led_ws281x: rgb: "#00ffdf" 67776-67782 rgb_led_ws281x: bit: "1" 67782-67788 rgb_led_ws281x: bit: "1" @@ -8623,6 +9655,9 @@ 67905-67911 rgb_led_ws281x: bit: "1" 67911-67917 rgb_led_ws281x: bit: "1" 67917-67924 rgb_led_ws281x: bit: "1" +67776-67825 rgb_led_ws281x: g: "ff" +67825-67874 rgb_led_ws281x: r: "00" +67874-67924 rgb_led_ws281x: b: "df" 67776-67924 rgb_led_ws281x: rgb: "#00ffdf" 67924-67930 rgb_led_ws281x: bit: "1" 67930-67936 rgb_led_ws281x: bit: "1" @@ -8648,6 +9683,9 @@ 68053-68059 rgb_led_ws281x: bit: "1" 68059-68066 rgb_led_ws281x: bit: "1" 68066-68072 rgb_led_ws281x: bit: "0" +67924-67973 rgb_led_ws281x: g: "f7" +67973-68022 rgb_led_ws281x: r: "00" +68022-68072 rgb_led_ws281x: b: "be" 67924-68072 rgb_led_ws281x: rgb: "#00f7be" 68072-68078 rgb_led_ws281x: bit: "1" 68078-68084 rgb_led_ws281x: bit: "1" @@ -8673,6 +9711,9 @@ 68201-68208 rgb_led_ws281x: bit: "1" 68208-68214 rgb_led_ws281x: bit: "0" 68214-68220 rgb_led_ws281x: bit: "1" +68072-68121 rgb_led_ws281x: g: "ef" +68121-68171 rgb_led_ws281x: r: "00" +68171-68220 rgb_led_ws281x: b: "7d" 68072-68220 rgb_led_ws281x: rgb: "#00ef7d" 68220-68226 rgb_led_ws281x: bit: "1" 68226-68233 rgb_led_ws281x: bit: "1" @@ -8698,6 +9739,9 @@ 68349-68356 rgb_led_ws281x: bit: "1" 68356-68362 rgb_led_ws281x: bit: "1" 68362-68368 rgb_led_ws281x: bit: "1" +68220-68269 rgb_led_ws281x: g: "df" +68269-68319 rgb_led_ws281x: r: "00" +68319-68368 rgb_led_ws281x: b: "ff" 68220-68368 rgb_led_ws281x: rgb: "#00dfff" 68368-68375 rgb_led_ws281x: bit: "1" 68375-68381 rgb_led_ws281x: bit: "0" @@ -8723,6 +9767,9 @@ 68498-68504 rgb_led_ws281x: bit: "0" 68504-68510 rgb_led_ws281x: bit: "1" 68510-68517 rgb_led_ws281x: bit: "1" +68368-68418 rgb_led_ws281x: g: "bf" +68418-68467 rgb_led_ws281x: r: "00" +68467-68517 rgb_led_ws281x: b: "fb" 68368-68517 rgb_led_ws281x: rgb: "#00bffb" 68517-68523 rgb_led_ws281x: bit: "0" 68523-68529 rgb_led_ws281x: bit: "1" @@ -8748,6 +9795,9 @@ 68646-68652 rgb_led_ws281x: bit: "1" 68652-68658 rgb_led_ws281x: bit: "1" 68658-68665 rgb_led_ws281x: bit: "1" +68517-68566 rgb_led_ws281x: g: "7e" +68566-68615 rgb_led_ws281x: r: "00" +68615-68665 rgb_led_ws281x: b: "f7" 68517-68665 rgb_led_ws281x: rgb: "#007ef7" 68665-68671 rgb_led_ws281x: bit: "1" 68671-68677 rgb_led_ws281x: bit: "1" @@ -8773,6 +9823,9 @@ 68794-68800 rgb_led_ws281x: bit: "1" 68800-68806 rgb_led_ws281x: bit: "1" 68806-68813 rgb_led_ws281x: bit: "1" +68665-68714 rgb_led_ws281x: g: "fd" +68714-68763 rgb_led_ws281x: r: "00" +68763-68813 rgb_led_ws281x: b: "ef" 68665-68813 rgb_led_ws281x: rgb: "#00fdef" 68813-68819 rgb_led_ws281x: bit: "1" 68819-68825 rgb_led_ws281x: bit: "1" @@ -8798,6 +9851,9 @@ 68942-68948 rgb_led_ws281x: bit: "1" 68948-68954 rgb_led_ws281x: bit: "1" 68954-68961 rgb_led_ws281x: bit: "1" +68813-68862 rgb_led_ws281x: g: "fb" +68862-68911 rgb_led_ws281x: r: "00" +68911-68961 rgb_led_ws281x: b: "df" 68813-68961 rgb_led_ws281x: rgb: "#00fbdf" 68961-68967 rgb_led_ws281x: bit: "1" 68967-68973 rgb_led_ws281x: bit: "1" @@ -8823,6 +9879,9 @@ 69090-69096 rgb_led_ws281x: bit: "1" 69096-69102 rgb_led_ws281x: bit: "1" 69102-69109 rgb_led_ws281x: bit: "1" +68961-69010 rgb_led_ws281x: g: "ff" +69010-69059 rgb_led_ws281x: r: "00" +69059-69109 rgb_led_ws281x: b: "ff" 68961-69109 rgb_led_ws281x: rgb: "#00ffff" 69109-69115 rgb_led_ws281x: bit: "1" 69115-69121 rgb_led_ws281x: bit: "1" @@ -8848,6 +9907,9 @@ 69238-69244 rgb_led_ws281x: bit: "1" 69244-69251 rgb_led_ws281x: bit: "1" 69251-69257 rgb_led_ws281x: bit: "0" +69109-69158 rgb_led_ws281x: g: "f7" +69158-69207 rgb_led_ws281x: r: "00" +69207-69257 rgb_led_ws281x: b: "be" 69109-69257 rgb_led_ws281x: rgb: "#00f7be" 69257-69263 rgb_led_ws281x: bit: "1" 69263-69269 rgb_led_ws281x: bit: "1" @@ -8873,6 +9935,9 @@ 69386-69393 rgb_led_ws281x: bit: "1" 69393-69399 rgb_led_ws281x: bit: "0" 69399-69405 rgb_led_ws281x: bit: "1" +69257-69306 rgb_led_ws281x: g: "ef" +69306-69356 rgb_led_ws281x: r: "00" +69356-69405 rgb_led_ws281x: b: "7d" 69257-69405 rgb_led_ws281x: rgb: "#00ef7d" 69405-69411 rgb_led_ws281x: bit: "1" 69411-69418 rgb_led_ws281x: bit: "1" @@ -8898,6 +9963,9 @@ 69534-69541 rgb_led_ws281x: bit: "1" 69541-69547 rgb_led_ws281x: bit: "1" 69547-69553 rgb_led_ws281x: bit: "1" +69405-69454 rgb_led_ws281x: g: "df" +69454-69504 rgb_led_ws281x: r: "00" +69504-69553 rgb_led_ws281x: b: "ff" 69405-69553 rgb_led_ws281x: rgb: "#00dfff" 69553-69560 rgb_led_ws281x: bit: "1" 69560-69566 rgb_led_ws281x: bit: "0" @@ -8923,6 +9991,9 @@ 69683-69689 rgb_led_ws281x: bit: "0" 69689-69695 rgb_led_ws281x: bit: "1" 69695-69702 rgb_led_ws281x: bit: "1" +69553-69603 rgb_led_ws281x: g: "bf" +69603-69652 rgb_led_ws281x: r: "00" +69652-69702 rgb_led_ws281x: b: "fb" 69553-69702 rgb_led_ws281x: rgb: "#00bffb" 69702-69708 rgb_led_ws281x: bit: "0" 69708-69714 rgb_led_ws281x: bit: "1" @@ -8948,6 +10019,9 @@ 69831-69837 rgb_led_ws281x: bit: "1" 69837-69843 rgb_led_ws281x: bit: "1" 69843-69850 rgb_led_ws281x: bit: "1" +69702-69751 rgb_led_ws281x: g: "7e" +69751-69800 rgb_led_ws281x: r: "00" +69800-69850 rgb_led_ws281x: b: "f7" 69702-69850 rgb_led_ws281x: rgb: "#007ef7" 69850-69856 rgb_led_ws281x: bit: "1" 69856-69862 rgb_led_ws281x: bit: "1" @@ -8973,6 +10047,9 @@ 69979-69985 rgb_led_ws281x: bit: "1" 69985-69991 rgb_led_ws281x: bit: "1" 69991-69998 rgb_led_ws281x: bit: "1" +69850-69899 rgb_led_ws281x: g: "fd" +69899-69948 rgb_led_ws281x: r: "00" +69948-69998 rgb_led_ws281x: b: "ef" 69850-69998 rgb_led_ws281x: rgb: "#00fdef" 69998-70004 rgb_led_ws281x: bit: "1" 70004-70010 rgb_led_ws281x: bit: "1" @@ -8998,6 +10075,9 @@ 70127-70133 rgb_led_ws281x: bit: "1" 70133-70139 rgb_led_ws281x: bit: "1" 70139-70146 rgb_led_ws281x: bit: "1" +69998-70047 rgb_led_ws281x: g: "fb" +70047-70096 rgb_led_ws281x: r: "00" +70096-70146 rgb_led_ws281x: b: "df" 69998-70146 rgb_led_ws281x: rgb: "#00fbdf" 70146-70152 rgb_led_ws281x: bit: "1" 70152-70158 rgb_led_ws281x: bit: "1" @@ -9023,6 +10103,9 @@ 70275-70281 rgb_led_ws281x: bit: "1" 70281-70287 rgb_led_ws281x: bit: "1" 70287-70294 rgb_led_ws281x: bit: "1" +70146-70195 rgb_led_ws281x: g: "f7" +70195-70244 rgb_led_ws281x: r: "00" +70244-70294 rgb_led_ws281x: b: "bf" 70146-70294 rgb_led_ws281x: rgb: "#00f7bf" 70294-70300 rgb_led_ws281x: bit: "1" 70300-70306 rgb_led_ws281x: bit: "1" @@ -9048,6 +10131,9 @@ 70423-70429 rgb_led_ws281x: bit: "1" 70429-70436 rgb_led_ws281x: bit: "1" 70436-70442 rgb_led_ws281x: bit: "0" +70294-70343 rgb_led_ws281x: g: "ff" +70343-70392 rgb_led_ws281x: r: "00" +70392-70442 rgb_led_ws281x: b: "fe" 70294-70442 rgb_led_ws281x: rgb: "#00fffe" 70442-70448 rgb_led_ws281x: bit: "1" 70448-70454 rgb_led_ws281x: bit: "1" @@ -9073,6 +10159,9 @@ 70571-70578 rgb_led_ws281x: bit: "1" 70578-70584 rgb_led_ws281x: bit: "0" 70584-70590 rgb_led_ws281x: bit: "1" +70442-70491 rgb_led_ws281x: g: "ef" +70491-70541 rgb_led_ws281x: r: "00" +70541-70590 rgb_led_ws281x: b: "7d" 70442-70590 rgb_led_ws281x: rgb: "#00ef7d" 70590-70596 rgb_led_ws281x: bit: "1" 70596-70603 rgb_led_ws281x: bit: "1" @@ -9098,6 +10187,9 @@ 70719-70726 rgb_led_ws281x: bit: "1" 70726-70732 rgb_led_ws281x: bit: "1" 70732-70738 rgb_led_ws281x: bit: "1" +70590-70640 rgb_led_ws281x: g: "df" +70640-70689 rgb_led_ws281x: r: "00" +70689-70738 rgb_led_ws281x: b: "ff" 70590-70738 rgb_led_ws281x: rgb: "#00dfff" 70738-70745 rgb_led_ws281x: bit: "1" 70745-70751 rgb_led_ws281x: bit: "0" @@ -9123,6 +10215,9 @@ 70868-70874 rgb_led_ws281x: bit: "1" 70874-70880 rgb_led_ws281x: bit: "1" 70880-70887 rgb_led_ws281x: bit: "1" +70738-70788 rgb_led_ws281x: g: "bf" +70788-70837 rgb_led_ws281x: r: "00" +70837-70887 rgb_led_ws281x: b: "ff" 70738-70887 rgb_led_ws281x: rgb: "#00bfff" 70887-70893 rgb_led_ws281x: bit: "0" 70893-70899 rgb_led_ws281x: bit: "1" @@ -9148,6 +10243,9 @@ 71016-71022 rgb_led_ws281x: bit: "1" 71022-71028 rgb_led_ws281x: bit: "1" 71028-71035 rgb_led_ws281x: bit: "1" +70887-70936 rgb_led_ws281x: g: "7e" +70936-70985 rgb_led_ws281x: r: "00" +70985-71035 rgb_led_ws281x: b: "f7" 70887-71035 rgb_led_ws281x: rgb: "#007ef7" 71035-71041 rgb_led_ws281x: bit: "1" 71041-71047 rgb_led_ws281x: bit: "1" @@ -9173,6 +10271,9 @@ 71164-71170 rgb_led_ws281x: bit: "1" 71170-71176 rgb_led_ws281x: bit: "1" 71176-71183 rgb_led_ws281x: bit: "1" +71035-71084 rgb_led_ws281x: g: "fd" +71084-71133 rgb_led_ws281x: r: "00" +71133-71183 rgb_led_ws281x: b: "ef" 71035-71183 rgb_led_ws281x: rgb: "#00fdef" 71183-71189 rgb_led_ws281x: bit: "1" 71189-71195 rgb_led_ws281x: bit: "1" @@ -9198,6 +10299,9 @@ 71312-71318 rgb_led_ws281x: bit: "1" 71318-71324 rgb_led_ws281x: bit: "1" 71324-71331 rgb_led_ws281x: bit: "1" +71183-71232 rgb_led_ws281x: g: "fb" +71232-71281 rgb_led_ws281x: r: "00" +71281-71331 rgb_led_ws281x: b: "df" 71183-71331 rgb_led_ws281x: rgb: "#00fbdf" 71331-71337 rgb_led_ws281x: bit: "1" 71337-71343 rgb_led_ws281x: bit: "1" @@ -9223,6 +10327,9 @@ 71460-71466 rgb_led_ws281x: bit: "1" 71466-71472 rgb_led_ws281x: bit: "1" 71472-71479 rgb_led_ws281x: bit: "1" +71331-71380 rgb_led_ws281x: g: "f7" +71380-71429 rgb_led_ws281x: r: "00" +71429-71479 rgb_led_ws281x: b: "bf" 71331-71479 rgb_led_ws281x: rgb: "#00f7bf" 71479-71485 rgb_led_ws281x: bit: "1" 71485-71491 rgb_led_ws281x: bit: "1" @@ -9248,6 +10355,9 @@ 71608-71614 rgb_led_ws281x: bit: "1" 71614-71621 rgb_led_ws281x: bit: "1" 71621-71627 rgb_led_ws281x: bit: "0" +71479-71528 rgb_led_ws281x: g: "ef" +71528-71578 rgb_led_ws281x: r: "00" +71578-71627 rgb_led_ws281x: b: "7e" 71479-71627 rgb_led_ws281x: rgb: "#00ef7e" 71627-71633 rgb_led_ws281x: bit: "1" 71633-71639 rgb_led_ws281x: bit: "1" @@ -9273,6 +10383,9 @@ 71756-71763 rgb_led_ws281x: bit: "1" 71763-71769 rgb_led_ws281x: bit: "0" 71769-71775 rgb_led_ws281x: bit: "1" +71627-71676 rgb_led_ws281x: g: "ff" +71676-71726 rgb_led_ws281x: r: "00" +71726-71775 rgb_led_ws281x: b: "7d" 71627-71775 rgb_led_ws281x: rgb: "#00ff7d" 71775-71781 rgb_led_ws281x: bit: "1" 71781-71788 rgb_led_ws281x: bit: "1" @@ -9298,6 +10411,9 @@ 71905-71911 rgb_led_ws281x: bit: "0" 71911-71917 rgb_led_ws281x: bit: "1" 71917-71923 rgb_led_ws281x: bit: "1" +71775-71825 rgb_led_ws281x: g: "df" +71825-71874 rgb_led_ws281x: r: "00" +71874-71923 rgb_led_ws281x: b: "fb" 71775-71923 rgb_led_ws281x: rgb: "#00dffb" 71923-71930 rgb_led_ws281x: bit: "1" 71930-71936 rgb_led_ws281x: bit: "0" @@ -9323,6 +10439,9 @@ 72053-72059 rgb_led_ws281x: bit: "1" 72059-72065 rgb_led_ws281x: bit: "1" 72065-72072 rgb_led_ws281x: bit: "1" +71923-71973 rgb_led_ws281x: g: "be" +71973-72022 rgb_led_ws281x: r: "00" +72022-72072 rgb_led_ws281x: b: "ff" 71923-72072 rgb_led_ws281x: rgb: "#00beff" 72072-72078 rgb_led_ws281x: bit: "0" 72078-72084 rgb_led_ws281x: bit: "1" @@ -9348,6 +10467,9 @@ 72201-72207 rgb_led_ws281x: bit: "1" 72207-72213 rgb_led_ws281x: bit: "1" 72213-72220 rgb_led_ws281x: bit: "1" +72072-72121 rgb_led_ws281x: g: "7f" +72121-72170 rgb_led_ws281x: r: "00" +72170-72220 rgb_led_ws281x: b: "f7" 72072-72220 rgb_led_ws281x: rgb: "#007ff7" 72220-72226 rgb_led_ws281x: bit: "1" 72226-72232 rgb_led_ws281x: bit: "1" @@ -9373,6 +10495,9 @@ 72349-72355 rgb_led_ws281x: bit: "1" 72355-72361 rgb_led_ws281x: bit: "1" 72361-72368 rgb_led_ws281x: bit: "1" +72220-72269 rgb_led_ws281x: g: "fd" +72269-72318 rgb_led_ws281x: r: "00" +72318-72368 rgb_led_ws281x: b: "ef" 72220-72368 rgb_led_ws281x: rgb: "#00fdef" 72368-72374 rgb_led_ws281x: bit: "1" 72374-72380 rgb_led_ws281x: bit: "1" @@ -9398,6 +10523,9 @@ 72497-72503 rgb_led_ws281x: bit: "1" 72503-72509 rgb_led_ws281x: bit: "1" 72509-72516 rgb_led_ws281x: bit: "1" +72368-72417 rgb_led_ws281x: g: "fb" +72417-72466 rgb_led_ws281x: r: "00" +72466-72516 rgb_led_ws281x: b: "df" 72368-72516 rgb_led_ws281x: rgb: "#00fbdf" 72516-72522 rgb_led_ws281x: bit: "1" 72522-72528 rgb_led_ws281x: bit: "1" @@ -9423,6 +10551,9 @@ 72645-72651 rgb_led_ws281x: bit: "1" 72651-72657 rgb_led_ws281x: bit: "1" 72657-72664 rgb_led_ws281x: bit: "1" +72516-72565 rgb_led_ws281x: g: "f7" +72565-72614 rgb_led_ws281x: r: "00" +72614-72664 rgb_led_ws281x: b: "bf" 72516-72664 rgb_led_ws281x: rgb: "#00f7bf" 72664-72670 rgb_led_ws281x: bit: "1" 72670-72676 rgb_led_ws281x: bit: "1" @@ -9448,6 +10579,9 @@ 72793-72799 rgb_led_ws281x: bit: "1" 72799-72806 rgb_led_ws281x: bit: "1" 72806-72812 rgb_led_ws281x: bit: "0" +72664-72713 rgb_led_ws281x: g: "ef" +72713-72763 rgb_led_ws281x: r: "00" +72763-72812 rgb_led_ws281x: b: "7e" 72664-72812 rgb_led_ws281x: rgb: "#00ef7e" 72812-72818 rgb_led_ws281x: bit: "1" 72818-72825 rgb_led_ws281x: bit: "1" @@ -9473,6 +10607,9 @@ 72941-72948 rgb_led_ws281x: bit: "1" 72948-72954 rgb_led_ws281x: bit: "0" 72954-72960 rgb_led_ws281x: bit: "1" +72812-72861 rgb_led_ws281x: g: "df" +72861-72911 rgb_led_ws281x: r: "00" +72911-72960 rgb_led_ws281x: b: "fd" 72812-72960 rgb_led_ws281x: rgb: "#00dffd" 72960-72966 rgb_led_ws281x: bit: "1" 72966-72973 rgb_led_ws281x: bit: "1" @@ -9498,6 +10635,9 @@ 73090-73096 rgb_led_ws281x: bit: "0" 73096-73102 rgb_led_ws281x: bit: "1" 73102-73108 rgb_led_ws281x: bit: "1" +72960-73010 rgb_led_ws281x: g: "df" +73010-73059 rgb_led_ws281x: r: "00" +73059-73108 rgb_led_ws281x: b: "fb" 72960-73108 rgb_led_ws281x: rgb: "#00dffb" 73108-73115 rgb_led_ws281x: bit: "1" 73115-73121 rgb_led_ws281x: bit: "0" @@ -9523,6 +10663,9 @@ 73238-73244 rgb_led_ws281x: bit: "1" 73244-73250 rgb_led_ws281x: bit: "1" 73250-73257 rgb_led_ws281x: bit: "1" +73108-73158 rgb_led_ws281x: g: "be" +73158-73207 rgb_led_ws281x: r: "00" +73207-73257 rgb_led_ws281x: b: "ff" 73108-73257 rgb_led_ws281x: rgb: "#00beff" 73257-73263 rgb_led_ws281x: bit: "0" 73263-73269 rgb_led_ws281x: bit: "1" @@ -9548,6 +10691,9 @@ 73386-73392 rgb_led_ws281x: bit: "1" 73392-73398 rgb_led_ws281x: bit: "1" 73398-73405 rgb_led_ws281x: bit: "1" +73257-73306 rgb_led_ws281x: g: "7f" +73306-73355 rgb_led_ws281x: r: "00" +73355-73405 rgb_led_ws281x: b: "f7" 73257-73405 rgb_led_ws281x: rgb: "#007ff7" 73405-73411 rgb_led_ws281x: bit: "1" 73411-73417 rgb_led_ws281x: bit: "1" @@ -9573,6 +10719,9 @@ 73534-73540 rgb_led_ws281x: bit: "1" 73540-73546 rgb_led_ws281x: bit: "1" 73546-73553 rgb_led_ws281x: bit: "1" +73405-73454 rgb_led_ws281x: g: "ff" +73454-73503 rgb_led_ws281x: r: "00" +73503-73553 rgb_led_ws281x: b: "ef" 73405-73553 rgb_led_ws281x: rgb: "#00ffef" 73553-73559 rgb_led_ws281x: bit: "1" 73559-73565 rgb_led_ws281x: bit: "1" @@ -9598,6 +10747,9 @@ 73682-73688 rgb_led_ws281x: bit: "1" 73688-73694 rgb_led_ws281x: bit: "1" 73694-73701 rgb_led_ws281x: bit: "1" +73553-73602 rgb_led_ws281x: g: "fb" +73602-73651 rgb_led_ws281x: r: "00" +73651-73701 rgb_led_ws281x: b: "df" 73553-73701 rgb_led_ws281x: rgb: "#00fbdf" 73701-73707 rgb_led_ws281x: bit: "1" 73707-73713 rgb_led_ws281x: bit: "1" @@ -9623,6 +10775,9 @@ 73830-73836 rgb_led_ws281x: bit: "1" 73836-73842 rgb_led_ws281x: bit: "1" 73842-73849 rgb_led_ws281x: bit: "1" +73701-73750 rgb_led_ws281x: g: "f7" +73750-73799 rgb_led_ws281x: r: "00" +73799-73849 rgb_led_ws281x: b: "bf" 73701-73849 rgb_led_ws281x: rgb: "#00f7bf" 73849-73855 rgb_led_ws281x: bit: "1" 73855-73861 rgb_led_ws281x: bit: "1" @@ -9648,6 +10803,9 @@ 73978-73984 rgb_led_ws281x: bit: "1" 73984-73991 rgb_led_ws281x: bit: "1" 73991-73997 rgb_led_ws281x: bit: "0" +73849-73898 rgb_led_ws281x: g: "ef" +73898-73948 rgb_led_ws281x: r: "00" +73948-73997 rgb_led_ws281x: b: "7e" 73849-73997 rgb_led_ws281x: rgb: "#00ef7e" 73997-74003 rgb_led_ws281x: bit: "1" 74003-74009 rgb_led_ws281x: bit: "1" @@ -9673,6 +10831,9 @@ 74126-74133 rgb_led_ws281x: bit: "1" 74133-74139 rgb_led_ws281x: bit: "0" 74139-74145 rgb_led_ws281x: bit: "1" +73997-74046 rgb_led_ws281x: g: "ff" +74046-74096 rgb_led_ws281x: r: "00" +74096-74145 rgb_led_ws281x: b: "fd" 73997-74145 rgb_led_ws281x: rgb: "#00fffd" 74145-74151 rgb_led_ws281x: bit: "1" 74151-74158 rgb_led_ws281x: bit: "1" @@ -9698,6 +10859,9 @@ 74275-74281 rgb_led_ws281x: bit: "0" 74281-74287 rgb_led_ws281x: bit: "1" 74287-74293 rgb_led_ws281x: bit: "1" +74145-74195 rgb_led_ws281x: g: "ff" +74195-74244 rgb_led_ws281x: r: "00" +74244-74293 rgb_led_ws281x: b: "fb" 74145-74293 rgb_led_ws281x: rgb: "#00fffb" 74293-74300 rgb_led_ws281x: bit: "1" 74300-74306 rgb_led_ws281x: bit: "0" @@ -9723,6 +10887,9 @@ 74423-74429 rgb_led_ws281x: bit: "1" 74429-74435 rgb_led_ws281x: bit: "1" 74435-74442 rgb_led_ws281x: bit: "1" +74293-74343 rgb_led_ws281x: g: "be" +74343-74392 rgb_led_ws281x: r: "00" +74392-74442 rgb_led_ws281x: b: "f7" 74293-74442 rgb_led_ws281x: rgb: "#00bef7" 74442-74448 rgb_led_ws281x: bit: "0" 74448-74454 rgb_led_ws281x: bit: "1" @@ -9748,6 +10915,9 @@ 74571-74577 rgb_led_ws281x: bit: "1" 74577-74583 rgb_led_ws281x: bit: "1" 74583-74590 rgb_led_ws281x: bit: "1" +74442-74491 rgb_led_ws281x: g: "7d" +74491-74540 rgb_led_ws281x: r: "00" +74540-74590 rgb_led_ws281x: b: "ff" 74442-74590 rgb_led_ws281x: rgb: "#007dff" 74590-74596 rgb_led_ws281x: bit: "1" 74596-74602 rgb_led_ws281x: bit: "1" @@ -9773,6 +10943,9 @@ 74719-74725 rgb_led_ws281x: bit: "1" 74725-74731 rgb_led_ws281x: bit: "1" 74731-74738 rgb_led_ws281x: bit: "1" +74590-74639 rgb_led_ws281x: g: "ff" +74639-74688 rgb_led_ws281x: r: "00" +74688-74738 rgb_led_ws281x: b: "ef" 74590-74738 rgb_led_ws281x: rgb: "#00ffef" 74738-74744 rgb_led_ws281x: bit: "1" 74744-74750 rgb_led_ws281x: bit: "1" @@ -9798,6 +10971,9 @@ 74867-74873 rgb_led_ws281x: bit: "1" 74873-74879 rgb_led_ws281x: bit: "1" 74879-74886 rgb_led_ws281x: bit: "1" +74738-74787 rgb_led_ws281x: g: "fb" +74787-74836 rgb_led_ws281x: r: "00" +74836-74886 rgb_led_ws281x: b: "df" 74738-74886 rgb_led_ws281x: rgb: "#00fbdf" 74886-74892 rgb_led_ws281x: bit: "1" 74892-74898 rgb_led_ws281x: bit: "1" @@ -9823,6 +10999,9 @@ 75015-75021 rgb_led_ws281x: bit: "1" 75021-75027 rgb_led_ws281x: bit: "1" 75027-75034 rgb_led_ws281x: bit: "1" +74886-74935 rgb_led_ws281x: g: "f7" +74935-74984 rgb_led_ws281x: r: "00" +74984-75034 rgb_led_ws281x: b: "bf" 74886-75034 rgb_led_ws281x: rgb: "#00f7bf" 75034-75040 rgb_led_ws281x: bit: "1" 75040-75046 rgb_led_ws281x: bit: "1" @@ -9848,6 +11027,9 @@ 75163-75169 rgb_led_ws281x: bit: "1" 75169-75176 rgb_led_ws281x: bit: "1" 75176-75182 rgb_led_ws281x: bit: "0" +75034-75083 rgb_led_ws281x: g: "ef" +75083-75133 rgb_led_ws281x: r: "00" +75133-75182 rgb_led_ws281x: b: "7e" 75034-75182 rgb_led_ws281x: rgb: "#00ef7e" 75182-75188 rgb_led_ws281x: bit: "1" 75188-75195 rgb_led_ws281x: bit: "1" @@ -9873,6 +11055,9 @@ 75311-75318 rgb_led_ws281x: bit: "1" 75318-75324 rgb_led_ws281x: bit: "0" 75324-75330 rgb_led_ws281x: bit: "1" +75182-75231 rgb_led_ws281x: g: "df" +75231-75281 rgb_led_ws281x: r: "00" +75281-75330 rgb_led_ws281x: b: "fd" 75182-75330 rgb_led_ws281x: rgb: "#00dffd" 75330-75336 rgb_led_ws281x: bit: "1" 75336-75343 rgb_led_ws281x: bit: "1" @@ -9898,6 +11083,9 @@ 75460-75466 rgb_led_ws281x: bit: "0" 75466-75472 rgb_led_ws281x: bit: "1" 75472-75478 rgb_led_ws281x: bit: "1" +75330-75380 rgb_led_ws281x: g: "ff" +75380-75429 rgb_led_ws281x: r: "00" +75429-75478 rgb_led_ws281x: b: "fb" 75330-75478 rgb_led_ws281x: rgb: "#00fffb" 75478-75485 rgb_led_ws281x: bit: "1" 75485-75491 rgb_led_ws281x: bit: "1" @@ -9923,6 +11111,9 @@ 75608-75614 rgb_led_ws281x: bit: "1" 75614-75620 rgb_led_ws281x: bit: "1" 75620-75627 rgb_led_ws281x: bit: "1" +75478-75528 rgb_led_ws281x: g: "fe" +75528-75577 rgb_led_ws281x: r: "00" +75577-75627 rgb_led_ws281x: b: "f7" 75478-75627 rgb_led_ws281x: rgb: "#00fef7" 75627-75633 rgb_led_ws281x: bit: "0" 75633-75639 rgb_led_ws281x: bit: "1" @@ -9948,6 +11139,9 @@ 75756-75762 rgb_led_ws281x: bit: "1" 75762-75768 rgb_led_ws281x: bit: "1" 75768-75775 rgb_led_ws281x: bit: "1" +75627-75676 rgb_led_ws281x: g: "7d" +75676-75725 rgb_led_ws281x: r: "00" +75725-75775 rgb_led_ws281x: b: "ef" 75627-75775 rgb_led_ws281x: rgb: "#007def" 75775-75781 rgb_led_ws281x: bit: "1" 75781-75787 rgb_led_ws281x: bit: "1" @@ -9973,6 +11167,9 @@ 75904-75910 rgb_led_ws281x: bit: "1" 75910-75916 rgb_led_ws281x: bit: "1" 75916-75923 rgb_led_ws281x: bit: "1" +75775-75824 rgb_led_ws281x: g: "ff" +75824-75873 rgb_led_ws281x: r: "00" +75873-75923 rgb_led_ws281x: b: "ff" 75775-75923 rgb_led_ws281x: rgb: "#00ffff" 75923-75929 rgb_led_ws281x: bit: "1" 75929-75935 rgb_led_ws281x: bit: "1" @@ -9998,6 +11195,9 @@ 76052-76058 rgb_led_ws281x: bit: "1" 76058-76064 rgb_led_ws281x: bit: "1" 76064-76071 rgb_led_ws281x: bit: "1" +75923-75972 rgb_led_ws281x: g: "ff" +75972-76021 rgb_led_ws281x: r: "00" +76021-76071 rgb_led_ws281x: b: "df" 75923-76071 rgb_led_ws281x: rgb: "#00ffdf" 76071-76077 rgb_led_ws281x: bit: "1" 76077-76083 rgb_led_ws281x: bit: "1" @@ -10023,6 +11223,9 @@ 76200-76206 rgb_led_ws281x: bit: "1" 76206-76213 rgb_led_ws281x: bit: "1" 76213-76219 rgb_led_ws281x: bit: "0" +76071-76120 rgb_led_ws281x: g: "f7" +76120-76169 rgb_led_ws281x: r: "00" +76169-76219 rgb_led_ws281x: b: "be" 76071-76219 rgb_led_ws281x: rgb: "#00f7be" 76219-76225 rgb_led_ws281x: bit: "1" 76225-76231 rgb_led_ws281x: bit: "1" @@ -10048,6 +11251,9 @@ 76348-76354 rgb_led_ws281x: bit: "1" 76354-76361 rgb_led_ws281x: bit: "1" 76361-76367 rgb_led_ws281x: bit: "0" +76219-76268 rgb_led_ws281x: g: "ef" +76268-76318 rgb_led_ws281x: r: "00" +76318-76367 rgb_led_ws281x: b: "7e" 76219-76367 rgb_led_ws281x: rgb: "#00ef7e" 76367-76373 rgb_led_ws281x: bit: "1" 76373-76380 rgb_led_ws281x: bit: "1" @@ -10073,6 +11279,9 @@ 76496-76503 rgb_led_ws281x: bit: "1" 76503-76509 rgb_led_ws281x: bit: "0" 76509-76515 rgb_led_ws281x: bit: "1" +76367-76416 rgb_led_ws281x: g: "df" +76416-76466 rgb_led_ws281x: r: "00" +76466-76515 rgb_led_ws281x: b: "fd" 76367-76515 rgb_led_ws281x: rgb: "#00dffd" 76515-76522 rgb_led_ws281x: bit: "1" 76522-76528 rgb_led_ws281x: bit: "0" @@ -10098,6 +11307,9 @@ 76645-76651 rgb_led_ws281x: bit: "0" 76651-76657 rgb_led_ws281x: bit: "1" 76657-76663 rgb_led_ws281x: bit: "1" +76515-76565 rgb_led_ws281x: g: "bf" +76565-76614 rgb_led_ws281x: r: "00" +76614-76663 rgb_led_ws281x: b: "fb" 76515-76663 rgb_led_ws281x: rgb: "#00bffb" 76663-76670 rgb_led_ws281x: bit: "1" 76670-76676 rgb_led_ws281x: bit: "1" @@ -10123,6 +11335,9 @@ 76793-76799 rgb_led_ws281x: bit: "1" 76799-76805 rgb_led_ws281x: bit: "1" 76805-76812 rgb_led_ws281x: bit: "1" +76663-76713 rgb_led_ws281x: g: "fe" +76713-76762 rgb_led_ws281x: r: "00" +76762-76812 rgb_led_ws281x: b: "f7" 76663-76812 rgb_led_ws281x: rgb: "#00fef7" 76812-76818 rgb_led_ws281x: bit: "0" 76818-76824 rgb_led_ws281x: bit: "1" @@ -10148,6 +11363,9 @@ 76941-76947 rgb_led_ws281x: bit: "1" 76947-76953 rgb_led_ws281x: bit: "1" 76953-76960 rgb_led_ws281x: bit: "1" +76812-76861 rgb_led_ws281x: g: "7d" +76861-76910 rgb_led_ws281x: r: "00" +76910-76960 rgb_led_ws281x: b: "ef" 76812-76960 rgb_led_ws281x: rgb: "#007def" 76960-76966 rgb_led_ws281x: bit: "1" 76966-76972 rgb_led_ws281x: bit: "1" @@ -10173,6 +11391,9 @@ 77089-77095 rgb_led_ws281x: bit: "1" 77095-77101 rgb_led_ws281x: bit: "1" 77101-77108 rgb_led_ws281x: bit: "1" +76960-77009 rgb_led_ws281x: g: "ff" +77009-77058 rgb_led_ws281x: r: "00" +77058-77108 rgb_led_ws281x: b: "df" 76960-77108 rgb_led_ws281x: rgb: "#00ffdf" 77108-77114 rgb_led_ws281x: bit: "1" 77114-77120 rgb_led_ws281x: bit: "1" @@ -10198,6 +11419,9 @@ 77237-77243 rgb_led_ws281x: bit: "1" 77243-77249 rgb_led_ws281x: bit: "1" 77249-77256 rgb_led_ws281x: bit: "1" +77108-77157 rgb_led_ws281x: g: "ff" +77157-77206 rgb_led_ws281x: r: "00" +77206-77256 rgb_led_ws281x: b: "df" 77108-77256 rgb_led_ws281x: rgb: "#00ffdf" 77256-77262 rgb_led_ws281x: bit: "1" 77262-77268 rgb_led_ws281x: bit: "1" @@ -10223,6 +11447,9 @@ 77385-77391 rgb_led_ws281x: bit: "1" 77391-77398 rgb_led_ws281x: bit: "1" 77398-77404 rgb_led_ws281x: bit: "0" +77256-77305 rgb_led_ws281x: g: "f7" +77305-77354 rgb_led_ws281x: r: "00" +77354-77404 rgb_led_ws281x: b: "be" 77256-77404 rgb_led_ws281x: rgb: "#00f7be" 77404-77410 rgb_led_ws281x: bit: "1" 77410-77416 rgb_led_ws281x: bit: "1" @@ -10248,6 +11475,9 @@ 77533-77540 rgb_led_ws281x: bit: "1" 77540-77546 rgb_led_ws281x: bit: "0" 77546-77552 rgb_led_ws281x: bit: "1" +77404-77453 rgb_led_ws281x: g: "ef" +77453-77503 rgb_led_ws281x: r: "00" +77503-77552 rgb_led_ws281x: b: "7d" 77404-77552 rgb_led_ws281x: rgb: "#00ef7d" 77552-77558 rgb_led_ws281x: bit: "1" 77558-77565 rgb_led_ws281x: bit: "1" @@ -10273,6 +11503,9 @@ 77681-77688 rgb_led_ws281x: bit: "1" 77688-77694 rgb_led_ws281x: bit: "1" 77694-77700 rgb_led_ws281x: bit: "1" +77552-77601 rgb_led_ws281x: g: "df" +77601-77651 rgb_led_ws281x: r: "00" +77651-77700 rgb_led_ws281x: b: "ff" 77552-77700 rgb_led_ws281x: rgb: "#00dfff" 77700-77707 rgb_led_ws281x: bit: "1" 77707-77713 rgb_led_ws281x: bit: "0" @@ -10298,6 +11531,9 @@ 77830-77836 rgb_led_ws281x: bit: "0" 77836-77842 rgb_led_ws281x: bit: "1" 77842-77849 rgb_led_ws281x: bit: "1" +77700-77750 rgb_led_ws281x: g: "bf" +77750-77799 rgb_led_ws281x: r: "00" +77799-77849 rgb_led_ws281x: b: "fb" 77700-77849 rgb_led_ws281x: rgb: "#00bffb" 77849-77855 rgb_led_ws281x: bit: "0" 77855-77861 rgb_led_ws281x: bit: "1" @@ -10323,6 +11559,9 @@ 77978-77984 rgb_led_ws281x: bit: "1" 77984-77990 rgb_led_ws281x: bit: "1" 77990-77997 rgb_led_ws281x: bit: "1" +77849-77898 rgb_led_ws281x: g: "7e" +77898-77947 rgb_led_ws281x: r: "00" +77947-77997 rgb_led_ws281x: b: "f7" 77849-77997 rgb_led_ws281x: rgb: "#007ef7" 77997-78003 rgb_led_ws281x: bit: "1" 78003-78009 rgb_led_ws281x: bit: "1" @@ -10348,6 +11587,9 @@ 78126-78132 rgb_led_ws281x: bit: "1" 78132-78138 rgb_led_ws281x: bit: "1" 78138-78145 rgb_led_ws281x: bit: "1" +77997-78046 rgb_led_ws281x: g: "fd" +78046-78095 rgb_led_ws281x: r: "00" +78095-78145 rgb_led_ws281x: b: "ef" 77997-78145 rgb_led_ws281x: rgb: "#00fdef" 78145-78151 rgb_led_ws281x: bit: "1" 78151-78157 rgb_led_ws281x: bit: "1" @@ -10373,6 +11615,9 @@ 78274-78280 rgb_led_ws281x: bit: "1" 78280-78286 rgb_led_ws281x: bit: "1" 78286-78293 rgb_led_ws281x: bit: "1" +78145-78194 rgb_led_ws281x: g: "fb" +78194-78243 rgb_led_ws281x: r: "00" +78243-78293 rgb_led_ws281x: b: "df" 78145-78293 rgb_led_ws281x: rgb: "#00fbdf" 78293-78299 rgb_led_ws281x: bit: "1" 78299-78305 rgb_led_ws281x: bit: "1" @@ -10398,6 +11643,9 @@ 78422-78428 rgb_led_ws281x: bit: "1" 78428-78434 rgb_led_ws281x: bit: "1" 78434-78441 rgb_led_ws281x: bit: "1" +78293-78342 rgb_led_ws281x: g: "ff" +78342-78391 rgb_led_ws281x: r: "00" +78391-78441 rgb_led_ws281x: b: "ff" 78293-78441 rgb_led_ws281x: rgb: "#00ffff" 78441-78447 rgb_led_ws281x: bit: "1" 78447-78453 rgb_led_ws281x: bit: "1" @@ -10423,6 +11671,9 @@ 78570-78576 rgb_led_ws281x: bit: "1" 78576-78583 rgb_led_ws281x: bit: "1" 78583-78589 rgb_led_ws281x: bit: "0" +78441-78490 rgb_led_ws281x: g: "f7" +78490-78539 rgb_led_ws281x: r: "00" +78539-78589 rgb_led_ws281x: b: "be" 78441-78589 rgb_led_ws281x: rgb: "#00f7be" 78589-78595 rgb_led_ws281x: bit: "1" 78595-78601 rgb_led_ws281x: bit: "1" @@ -10448,6 +11699,9 @@ 78718-78725 rgb_led_ws281x: bit: "1" 78725-78731 rgb_led_ws281x: bit: "0" 78731-78737 rgb_led_ws281x: bit: "1" +78589-78638 rgb_led_ws281x: g: "ef" +78638-78688 rgb_led_ws281x: r: "00" +78688-78737 rgb_led_ws281x: b: "7d" 78589-78737 rgb_led_ws281x: rgb: "#00ef7d" 78737-78743 rgb_led_ws281x: bit: "1" 78743-78750 rgb_led_ws281x: bit: "1" @@ -10473,6 +11727,9 @@ 78866-78873 rgb_led_ws281x: bit: "1" 78873-78879 rgb_led_ws281x: bit: "1" 78879-78885 rgb_led_ws281x: bit: "1" +78737-78786 rgb_led_ws281x: g: "df" +78786-78836 rgb_led_ws281x: r: "00" +78836-78885 rgb_led_ws281x: b: "ff" 78737-78885 rgb_led_ws281x: rgb: "#00dfff" 78885-78892 rgb_led_ws281x: bit: "1" 78892-78898 rgb_led_ws281x: bit: "0" @@ -10498,6 +11755,9 @@ 79015-79021 rgb_led_ws281x: bit: "0" 79021-79027 rgb_led_ws281x: bit: "1" 79027-79034 rgb_led_ws281x: bit: "1" +78885-78935 rgb_led_ws281x: g: "bf" +78935-78984 rgb_led_ws281x: r: "00" +78984-79034 rgb_led_ws281x: b: "fb" 78885-79034 rgb_led_ws281x: rgb: "#00bffb" 79034-79040 rgb_led_ws281x: bit: "0" 79040-79046 rgb_led_ws281x: bit: "1" @@ -10523,6 +11783,9 @@ 79163-79169 rgb_led_ws281x: bit: "1" 79169-79175 rgb_led_ws281x: bit: "1" 79175-79182 rgb_led_ws281x: bit: "1" +79034-79083 rgb_led_ws281x: g: "7e" +79083-79132 rgb_led_ws281x: r: "00" +79132-79182 rgb_led_ws281x: b: "f7" 79034-79182 rgb_led_ws281x: rgb: "#007ef7" 79182-79188 rgb_led_ws281x: bit: "1" 79188-79194 rgb_led_ws281x: bit: "1" @@ -10548,6 +11811,9 @@ 79311-79317 rgb_led_ws281x: bit: "1" 79317-79323 rgb_led_ws281x: bit: "1" 79323-79330 rgb_led_ws281x: bit: "1" +79182-79231 rgb_led_ws281x: g: "fd" +79231-79280 rgb_led_ws281x: r: "00" +79280-79330 rgb_led_ws281x: b: "ef" 79182-79330 rgb_led_ws281x: rgb: "#00fdef" 79330-79336 rgb_led_ws281x: bit: "1" 79336-79342 rgb_led_ws281x: bit: "1" @@ -10573,6 +11839,9 @@ 79459-79465 rgb_led_ws281x: bit: "1" 79465-79471 rgb_led_ws281x: bit: "1" 79471-79478 rgb_led_ws281x: bit: "1" +79330-79379 rgb_led_ws281x: g: "fb" +79379-79428 rgb_led_ws281x: r: "00" +79428-79478 rgb_led_ws281x: b: "df" 79330-79478 rgb_led_ws281x: rgb: "#00fbdf" 79478-79484 rgb_led_ws281x: bit: "1" 79484-79490 rgb_led_ws281x: bit: "1" @@ -10598,6 +11867,9 @@ 79607-79613 rgb_led_ws281x: bit: "1" 79613-79619 rgb_led_ws281x: bit: "1" 79619-79626 rgb_led_ws281x: bit: "1" +79478-79527 rgb_led_ws281x: g: "f7" +79527-79576 rgb_led_ws281x: r: "00" +79576-79626 rgb_led_ws281x: b: "bf" 79478-79626 rgb_led_ws281x: rgb: "#00f7bf" 79626-79632 rgb_led_ws281x: bit: "1" 79632-79638 rgb_led_ws281x: bit: "1" @@ -10623,6 +11895,9 @@ 79755-79761 rgb_led_ws281x: bit: "1" 79761-79768 rgb_led_ws281x: bit: "1" 79768-79774 rgb_led_ws281x: bit: "0" +79626-79675 rgb_led_ws281x: g: "ff" +79675-79724 rgb_led_ws281x: r: "00" +79724-79774 rgb_led_ws281x: b: "be" 79626-79774 rgb_led_ws281x: rgb: "#00ffbe" 79774-79780 rgb_led_ws281x: bit: "1" 79780-79786 rgb_led_ws281x: bit: "1" @@ -10648,6 +11923,9 @@ 79903-79910 rgb_led_ws281x: bit: "1" 79910-79916 rgb_led_ws281x: bit: "0" 79916-79922 rgb_led_ws281x: bit: "1" +79774-79823 rgb_led_ws281x: g: "ef" +79823-79873 rgb_led_ws281x: r: "00" +79873-79922 rgb_led_ws281x: b: "7d" 79774-79922 rgb_led_ws281x: rgb: "#00ef7d" 79922-79928 rgb_led_ws281x: bit: "1" 79928-79935 rgb_led_ws281x: bit: "1" @@ -10673,6 +11951,9 @@ 80051-80058 rgb_led_ws281x: bit: "1" 80058-80064 rgb_led_ws281x: bit: "1" 80064-80070 rgb_led_ws281x: bit: "1" +79922-79972 rgb_led_ws281x: g: "df" +79972-80021 rgb_led_ws281x: r: "00" +80021-80070 rgb_led_ws281x: b: "ff" 79922-80070 rgb_led_ws281x: rgb: "#00dfff" 80070-80077 rgb_led_ws281x: bit: "1" 80077-80083 rgb_led_ws281x: bit: "0" @@ -10698,6 +11979,9 @@ 80200-80206 rgb_led_ws281x: bit: "1" 80206-80212 rgb_led_ws281x: bit: "1" 80212-80219 rgb_led_ws281x: bit: "1" +80070-80120 rgb_led_ws281x: g: "bf" +80120-80169 rgb_led_ws281x: r: "00" +80169-80219 rgb_led_ws281x: b: "ff" 80070-80219 rgb_led_ws281x: rgb: "#00bfff" 80219-80225 rgb_led_ws281x: bit: "0" 80225-80231 rgb_led_ws281x: bit: "1" @@ -10723,6 +12007,9 @@ 80348-80354 rgb_led_ws281x: bit: "1" 80354-80360 rgb_led_ws281x: bit: "1" 80360-80367 rgb_led_ws281x: bit: "1" +80219-80268 rgb_led_ws281x: g: "7e" +80268-80317 rgb_led_ws281x: r: "00" +80317-80367 rgb_led_ws281x: b: "f7" 80219-80367 rgb_led_ws281x: rgb: "#007ef7" 80367-80373 rgb_led_ws281x: bit: "1" 80373-80379 rgb_led_ws281x: bit: "1" @@ -10748,6 +12035,9 @@ 80496-80502 rgb_led_ws281x: bit: "1" 80502-80508 rgb_led_ws281x: bit: "1" 80508-80515 rgb_led_ws281x: bit: "1" +80367-80416 rgb_led_ws281x: g: "fd" +80416-80465 rgb_led_ws281x: r: "00" +80465-80515 rgb_led_ws281x: b: "ef" 80367-80515 rgb_led_ws281x: rgb: "#00fdef" 80515-80521 rgb_led_ws281x: bit: "1" 80521-80527 rgb_led_ws281x: bit: "1" @@ -10773,6 +12063,9 @@ 80644-80650 rgb_led_ws281x: bit: "1" 80650-80656 rgb_led_ws281x: bit: "1" 80656-80663 rgb_led_ws281x: bit: "1" +80515-80564 rgb_led_ws281x: g: "fb" +80564-80613 rgb_led_ws281x: r: "00" +80613-80663 rgb_led_ws281x: b: "df" 80515-80663 rgb_led_ws281x: rgb: "#00fbdf" 80663-80669 rgb_led_ws281x: bit: "1" 80669-80675 rgb_led_ws281x: bit: "1" @@ -10798,6 +12091,9 @@ 80792-80798 rgb_led_ws281x: bit: "1" 80798-80804 rgb_led_ws281x: bit: "1" 80804-80811 rgb_led_ws281x: bit: "1" +80663-80712 rgb_led_ws281x: g: "f7" +80712-80761 rgb_led_ws281x: r: "00" +80761-80811 rgb_led_ws281x: b: "bf" 80663-80811 rgb_led_ws281x: rgb: "#00f7bf" 80811-80817 rgb_led_ws281x: bit: "1" 80817-80823 rgb_led_ws281x: bit: "1" @@ -10823,6 +12119,9 @@ 80940-80946 rgb_led_ws281x: bit: "1" 80946-80953 rgb_led_ws281x: bit: "1" 80953-80959 rgb_led_ws281x: bit: "0" +80811-80860 rgb_led_ws281x: g: "ff" +80860-80910 rgb_led_ws281x: r: "00" +80910-80959 rgb_led_ws281x: b: "7e" 80811-80959 rgb_led_ws281x: rgb: "#00ff7e" 80959-80965 rgb_led_ws281x: bit: "1" 80965-80971 rgb_led_ws281x: bit: "1" @@ -10848,6 +12147,9 @@ 81088-81095 rgb_led_ws281x: bit: "1" 81095-81101 rgb_led_ws281x: bit: "0" 81101-81107 rgb_led_ws281x: bit: "1" +80959-81008 rgb_led_ws281x: g: "ff" +81008-81058 rgb_led_ws281x: r: "00" +81058-81107 rgb_led_ws281x: b: "7d" 80959-81107 rgb_led_ws281x: rgb: "#00ff7d" 81107-81113 rgb_led_ws281x: bit: "1" 81113-81120 rgb_led_ws281x: bit: "1" @@ -10873,6 +12175,9 @@ 81237-81243 rgb_led_ws281x: bit: "0" 81243-81249 rgb_led_ws281x: bit: "1" 81249-81255 rgb_led_ws281x: bit: "1" +81107-81157 rgb_led_ws281x: g: "df" +81157-81206 rgb_led_ws281x: r: "00" +81206-81255 rgb_led_ws281x: b: "fb" 81107-81255 rgb_led_ws281x: rgb: "#00dffb" 81255-81262 rgb_led_ws281x: bit: "1" 81262-81268 rgb_led_ws281x: bit: "0" @@ -10898,6 +12203,9 @@ 81385-81391 rgb_led_ws281x: bit: "1" 81391-81397 rgb_led_ws281x: bit: "1" 81397-81404 rgb_led_ws281x: bit: "1" +81255-81305 rgb_led_ws281x: g: "bf" +81305-81354 rgb_led_ws281x: r: "00" +81354-81404 rgb_led_ws281x: b: "ff" 81255-81404 rgb_led_ws281x: rgb: "#00bfff" 81404-81410 rgb_led_ws281x: bit: "0" 81410-81416 rgb_led_ws281x: bit: "1" @@ -10923,6 +12231,9 @@ 81533-81539 rgb_led_ws281x: bit: "1" 81539-81545 rgb_led_ws281x: bit: "1" 81545-81552 rgb_led_ws281x: bit: "1" +81404-81453 rgb_led_ws281x: g: "7f" +81453-81502 rgb_led_ws281x: r: "00" +81502-81552 rgb_led_ws281x: b: "f7" 81404-81552 rgb_led_ws281x: rgb: "#007ff7" 81552-81558 rgb_led_ws281x: bit: "1" 81558-81564 rgb_led_ws281x: bit: "1" @@ -10948,6 +12259,9 @@ 81681-81687 rgb_led_ws281x: bit: "1" 81687-81693 rgb_led_ws281x: bit: "1" 81693-81700 rgb_led_ws281x: bit: "1" +81552-81601 rgb_led_ws281x: g: "fd" +81601-81650 rgb_led_ws281x: r: "00" +81650-81700 rgb_led_ws281x: b: "ef" 81552-81700 rgb_led_ws281x: rgb: "#00fdef" 81700-81706 rgb_led_ws281x: bit: "1" 81706-81712 rgb_led_ws281x: bit: "1" @@ -10973,6 +12287,9 @@ 81829-81835 rgb_led_ws281x: bit: "1" 81835-81841 rgb_led_ws281x: bit: "1" 81841-81848 rgb_led_ws281x: bit: "1" +81700-81749 rgb_led_ws281x: g: "fb" +81749-81798 rgb_led_ws281x: r: "00" +81798-81848 rgb_led_ws281x: b: "df" 81700-81848 rgb_led_ws281x: rgb: "#00fbdf" 81848-81854 rgb_led_ws281x: bit: "1" 81854-81860 rgb_led_ws281x: bit: "1" @@ -10998,6 +12315,9 @@ 81977-81983 rgb_led_ws281x: bit: "1" 81983-81989 rgb_led_ws281x: bit: "1" 81989-81996 rgb_led_ws281x: bit: "1" +81848-81897 rgb_led_ws281x: g: "f7" +81897-81946 rgb_led_ws281x: r: "00" +81946-81996 rgb_led_ws281x: b: "bf" 81848-81996 rgb_led_ws281x: rgb: "#00f7bf" 81996-82002 rgb_led_ws281x: bit: "1" 82002-82008 rgb_led_ws281x: bit: "1" @@ -11023,6 +12343,9 @@ 82125-82131 rgb_led_ws281x: bit: "1" 82131-82138 rgb_led_ws281x: bit: "1" 82138-82144 rgb_led_ws281x: bit: "0" +81996-82045 rgb_led_ws281x: g: "ef" +82045-82095 rgb_led_ws281x: r: "00" +82095-82144 rgb_led_ws281x: b: "7e" 81996-82144 rgb_led_ws281x: rgb: "#00ef7e" 82144-82150 rgb_led_ws281x: bit: "1" 82150-82156 rgb_led_ws281x: bit: "1" @@ -11048,6 +12371,9 @@ 82273-82280 rgb_led_ws281x: bit: "1" 82280-82286 rgb_led_ws281x: bit: "0" 82286-82292 rgb_led_ws281x: bit: "1" +82144-82193 rgb_led_ws281x: g: "ff" +82193-82243 rgb_led_ws281x: r: "00" +82243-82292 rgb_led_ws281x: b: "7d" 82144-82292 rgb_led_ws281x: rgb: "#00ff7d" 82292-82298 rgb_led_ws281x: bit: "1" 82298-82305 rgb_led_ws281x: bit: "1" @@ -11073,6 +12399,9 @@ 82422-82428 rgb_led_ws281x: bit: "0" 82428-82434 rgb_led_ws281x: bit: "1" 82434-82440 rgb_led_ws281x: bit: "1" +82292-82342 rgb_led_ws281x: g: "df" +82342-82391 rgb_led_ws281x: r: "00" +82391-82440 rgb_led_ws281x: b: "fb" 82292-82440 rgb_led_ws281x: rgb: "#00dffb" 82440-82447 rgb_led_ws281x: bit: "1" 82447-82453 rgb_led_ws281x: bit: "0" @@ -11098,6 +12427,9 @@ 82570-82576 rgb_led_ws281x: bit: "1" 82576-82582 rgb_led_ws281x: bit: "1" 82582-82589 rgb_led_ws281x: bit: "1" +82440-82490 rgb_led_ws281x: g: "be" +82490-82539 rgb_led_ws281x: r: "00" +82539-82589 rgb_led_ws281x: b: "ff" 82440-82589 rgb_led_ws281x: rgb: "#00beff" 82589-82595 rgb_led_ws281x: bit: "0" 82595-82601 rgb_led_ws281x: bit: "1" @@ -11123,6 +12455,9 @@ 82718-82724 rgb_led_ws281x: bit: "1" 82724-82730 rgb_led_ws281x: bit: "1" 82730-82737 rgb_led_ws281x: bit: "1" +82589-82638 rgb_led_ws281x: g: "7f" +82638-82687 rgb_led_ws281x: r: "00" +82687-82737 rgb_led_ws281x: b: "f7" 82589-82737 rgb_led_ws281x: rgb: "#007ff7" 82737-82743 rgb_led_ws281x: bit: "1" 82743-82749 rgb_led_ws281x: bit: "1" @@ -11148,6 +12483,9 @@ 82866-82872 rgb_led_ws281x: bit: "1" 82872-82878 rgb_led_ws281x: bit: "1" 82878-82885 rgb_led_ws281x: bit: "1" +82737-82786 rgb_led_ws281x: g: "ff" +82786-82835 rgb_led_ws281x: r: "00" +82835-82885 rgb_led_ws281x: b: "ef" 82737-82885 rgb_led_ws281x: rgb: "#00ffef" 82885-82891 rgb_led_ws281x: bit: "1" 82891-82897 rgb_led_ws281x: bit: "1" @@ -11173,6 +12511,9 @@ 83014-83020 rgb_led_ws281x: bit: "1" 83020-83026 rgb_led_ws281x: bit: "1" 83026-83033 rgb_led_ws281x: bit: "1" +82885-82934 rgb_led_ws281x: g: "fb" +82934-82983 rgb_led_ws281x: r: "00" +82983-83033 rgb_led_ws281x: b: "df" 82885-83033 rgb_led_ws281x: rgb: "#00fbdf" 83033-83039 rgb_led_ws281x: bit: "1" 83039-83045 rgb_led_ws281x: bit: "1" @@ -11198,6 +12539,9 @@ 83162-83168 rgb_led_ws281x: bit: "1" 83168-83174 rgb_led_ws281x: bit: "1" 83174-83181 rgb_led_ws281x: bit: "1" +83033-83082 rgb_led_ws281x: g: "f7" +83082-83131 rgb_led_ws281x: r: "00" +83131-83181 rgb_led_ws281x: b: "bf" 83033-83181 rgb_led_ws281x: rgb: "#00f7bf" 83181-83187 rgb_led_ws281x: bit: "1" 83187-83193 rgb_led_ws281x: bit: "1" @@ -11223,6 +12567,9 @@ 83310-83316 rgb_led_ws281x: bit: "1" 83316-83323 rgb_led_ws281x: bit: "1" 83323-83329 rgb_led_ws281x: bit: "0" +83181-83230 rgb_led_ws281x: g: "ef" +83230-83280 rgb_led_ws281x: r: "00" +83280-83329 rgb_led_ws281x: b: "7e" 83181-83329 rgb_led_ws281x: rgb: "#00ef7e" 83329-83335 rgb_led_ws281x: bit: "1" 83335-83341 rgb_led_ws281x: bit: "1" @@ -11248,6 +12595,9 @@ 83458-83465 rgb_led_ws281x: bit: "1" 83465-83471 rgb_led_ws281x: bit: "0" 83471-83477 rgb_led_ws281x: bit: "1" +83329-83378 rgb_led_ws281x: g: "ff" +83378-83428 rgb_led_ws281x: r: "00" +83428-83477 rgb_led_ws281x: b: "fd" 83329-83477 rgb_led_ws281x: rgb: "#00fffd" 83477-83483 rgb_led_ws281x: bit: "1" 83483-83490 rgb_led_ws281x: bit: "1" @@ -11273,6 +12623,9 @@ 83607-83613 rgb_led_ws281x: bit: "0" 83613-83619 rgb_led_ws281x: bit: "1" 83619-83625 rgb_led_ws281x: bit: "1" +83477-83527 rgb_led_ws281x: g: "ff" +83527-83576 rgb_led_ws281x: r: "00" +83576-83625 rgb_led_ws281x: b: "fb" 83477-83625 rgb_led_ws281x: rgb: "#00fffb" 83625-83632 rgb_led_ws281x: bit: "1" 83632-83638 rgb_led_ws281x: bit: "0" @@ -11298,6 +12651,9 @@ 83755-83761 rgb_led_ws281x: bit: "1" 83761-83767 rgb_led_ws281x: bit: "1" 83767-83774 rgb_led_ws281x: bit: "1" +83625-83675 rgb_led_ws281x: g: "be" +83675-83724 rgb_led_ws281x: r: "00" +83724-83774 rgb_led_ws281x: b: "f7" 83625-83774 rgb_led_ws281x: rgb: "#00bef7" 83774-83780 rgb_led_ws281x: bit: "0" 83780-83786 rgb_led_ws281x: bit: "1" @@ -11323,6 +12679,9 @@ 83903-83909 rgb_led_ws281x: bit: "1" 83909-83915 rgb_led_ws281x: bit: "1" 83915-83922 rgb_led_ws281x: bit: "1" +83774-83823 rgb_led_ws281x: g: "7d" +83823-83872 rgb_led_ws281x: r: "00" +83872-83922 rgb_led_ws281x: b: "f7" 83774-83922 rgb_led_ws281x: rgb: "#007df7" 83922-83928 rgb_led_ws281x: bit: "1" 83928-83934 rgb_led_ws281x: bit: "1" @@ -11348,6 +12707,9 @@ 84051-84057 rgb_led_ws281x: bit: "1" 84057-84063 rgb_led_ws281x: bit: "1" 84063-84070 rgb_led_ws281x: bit: "1" +83922-83971 rgb_led_ws281x: g: "ff" +83971-84020 rgb_led_ws281x: r: "00" +84020-84070 rgb_led_ws281x: b: "ef" 83922-84070 rgb_led_ws281x: rgb: "#00ffef" 84070-84076 rgb_led_ws281x: bit: "1" 84076-84082 rgb_led_ws281x: bit: "1" @@ -11373,6 +12735,9 @@ 84199-84205 rgb_led_ws281x: bit: "1" 84205-84211 rgb_led_ws281x: bit: "1" 84211-84218 rgb_led_ws281x: bit: "1" +84070-84119 rgb_led_ws281x: g: "fb" +84119-84168 rgb_led_ws281x: r: "00" +84168-84218 rgb_led_ws281x: b: "df" 84070-84218 rgb_led_ws281x: rgb: "#00fbdf" 84218-84224 rgb_led_ws281x: bit: "1" 84224-84230 rgb_led_ws281x: bit: "1" @@ -11398,6 +12763,9 @@ 84347-84353 rgb_led_ws281x: bit: "1" 84353-84359 rgb_led_ws281x: bit: "1" 84359-84366 rgb_led_ws281x: bit: "1" +84218-84267 rgb_led_ws281x: g: "f7" +84267-84316 rgb_led_ws281x: r: "00" +84316-84366 rgb_led_ws281x: b: "bf" 84218-84366 rgb_led_ws281x: rgb: "#00f7bf" 84366-84372 rgb_led_ws281x: bit: "1" 84372-84378 rgb_led_ws281x: bit: "1" @@ -11423,6 +12791,9 @@ 84495-84501 rgb_led_ws281x: bit: "1" 84501-84508 rgb_led_ws281x: bit: "1" 84508-84514 rgb_led_ws281x: bit: "0" +84366-84415 rgb_led_ws281x: g: "ef" +84415-84465 rgb_led_ws281x: r: "00" +84465-84514 rgb_led_ws281x: b: "7e" 84366-84514 rgb_led_ws281x: rgb: "#00ef7e" 84514-84520 rgb_led_ws281x: bit: "1" 84520-84527 rgb_led_ws281x: bit: "1" @@ -11448,6 +12819,9 @@ 84643-84650 rgb_led_ws281x: bit: "1" 84650-84656 rgb_led_ws281x: bit: "0" 84656-84662 rgb_led_ws281x: bit: "1" +84514-84563 rgb_led_ws281x: g: "df" +84563-84613 rgb_led_ws281x: r: "00" +84613-84662 rgb_led_ws281x: b: "fd" 84514-84662 rgb_led_ws281x: rgb: "#00dffd" 84662-84668 rgb_led_ws281x: bit: "1" 84668-84675 rgb_led_ws281x: bit: "1" @@ -11473,6 +12847,9 @@ 84792-84798 rgb_led_ws281x: bit: "0" 84798-84804 rgb_led_ws281x: bit: "1" 84804-84810 rgb_led_ws281x: bit: "1" +84662-84712 rgb_led_ws281x: g: "ff" +84712-84761 rgb_led_ws281x: r: "00" +84761-84810 rgb_led_ws281x: b: "fb" 84662-84810 rgb_led_ws281x: rgb: "#00fffb" 84810-84817 rgb_led_ws281x: bit: "1" 84817-84823 rgb_led_ws281x: bit: "0" @@ -11498,6 +12875,9 @@ 84940-84946 rgb_led_ws281x: bit: "1" 84946-84952 rgb_led_ws281x: bit: "1" 84952-84959 rgb_led_ws281x: bit: "1" +84810-84860 rgb_led_ws281x: g: "be" +84860-84909 rgb_led_ws281x: r: "00" +84909-84959 rgb_led_ws281x: b: "f7" 84810-84959 rgb_led_ws281x: rgb: "#00bef7" 84959-84965 rgb_led_ws281x: bit: "0" 84965-84971 rgb_led_ws281x: bit: "1" @@ -11523,6 +12903,9 @@ 85088-85094 rgb_led_ws281x: bit: "1" 85094-85100 rgb_led_ws281x: bit: "1" 85100-85107 rgb_led_ws281x: bit: "1" +84959-85008 rgb_led_ws281x: g: "7d" +85008-85057 rgb_led_ws281x: r: "00" +85057-85107 rgb_led_ws281x: b: "ef" 84959-85107 rgb_led_ws281x: rgb: "#007def" 85107-85113 rgb_led_ws281x: bit: "1" 85113-85119 rgb_led_ws281x: bit: "1" @@ -11548,6 +12931,9 @@ 85236-85242 rgb_led_ws281x: bit: "1" 85242-85248 rgb_led_ws281x: bit: "1" 85248-85255 rgb_led_ws281x: bit: "1" +85107-85156 rgb_led_ws281x: g: "ff" +85156-85205 rgb_led_ws281x: r: "00" +85205-85255 rgb_led_ws281x: b: "ef" 85107-85255 rgb_led_ws281x: rgb: "#00ffef" 85255-85261 rgb_led_ws281x: bit: "1" 85261-85267 rgb_led_ws281x: bit: "1" @@ -11573,6 +12959,9 @@ 85384-85390 rgb_led_ws281x: bit: "1" 85390-85396 rgb_led_ws281x: bit: "1" 85396-85403 rgb_led_ws281x: bit: "1" +85255-85304 rgb_led_ws281x: g: "ff" +85304-85353 rgb_led_ws281x: r: "00" +85353-85403 rgb_led_ws281x: b: "df" 85255-85403 rgb_led_ws281x: rgb: "#00ffdf" 85403-85409 rgb_led_ws281x: bit: "1" 85409-85415 rgb_led_ws281x: bit: "1" @@ -11598,6 +12987,9 @@ 85532-85538 rgb_led_ws281x: bit: "1" 85538-85545 rgb_led_ws281x: bit: "1" 85545-85551 rgb_led_ws281x: bit: "0" +85403-85452 rgb_led_ws281x: g: "f7" +85452-85501 rgb_led_ws281x: r: "00" +85501-85551 rgb_led_ws281x: b: "be" 85403-85551 rgb_led_ws281x: rgb: "#00f7be" 85551-85557 rgb_led_ws281x: bit: "1" 85557-85563 rgb_led_ws281x: bit: "1" @@ -11623,6 +13015,9 @@ 85680-85686 rgb_led_ws281x: bit: "1" 85686-85693 rgb_led_ws281x: bit: "1" 85693-85699 rgb_led_ws281x: bit: "1" +85551-85600 rgb_led_ws281x: g: "ef" +85600-85650 rgb_led_ws281x: r: "00" +85650-85699 rgb_led_ws281x: b: "7f" 85551-85699 rgb_led_ws281x: rgb: "#00ef7f" 85699-85705 rgb_led_ws281x: bit: "1" 85705-85712 rgb_led_ws281x: bit: "1" @@ -11648,6 +13043,9 @@ 85828-85835 rgb_led_ws281x: bit: "1" 85835-85841 rgb_led_ws281x: bit: "0" 85841-85847 rgb_led_ws281x: bit: "1" +85699-85748 rgb_led_ws281x: g: "df" +85748-85798 rgb_led_ws281x: r: "00" +85798-85847 rgb_led_ws281x: b: "fd" 85699-85847 rgb_led_ws281x: rgb: "#00dffd" 85847-85854 rgb_led_ws281x: bit: "1" 85854-85860 rgb_led_ws281x: bit: "0" @@ -11673,6 +13071,9 @@ 85977-85983 rgb_led_ws281x: bit: "0" 85983-85989 rgb_led_ws281x: bit: "1" 85989-85995 rgb_led_ws281x: bit: "1" +85847-85897 rgb_led_ws281x: g: "bf" +85897-85946 rgb_led_ws281x: r: "00" +85946-85995 rgb_led_ws281x: b: "fb" 85847-85995 rgb_led_ws281x: rgb: "#00bffb" 85995-86002 rgb_led_ws281x: bit: "1" 86002-86008 rgb_led_ws281x: bit: "1" @@ -11698,6 +13099,9 @@ 86125-86131 rgb_led_ws281x: bit: "1" 86131-86137 rgb_led_ws281x: bit: "1" 86137-86144 rgb_led_ws281x: bit: "1" +85995-86045 rgb_led_ws281x: g: "fe" +86045-86094 rgb_led_ws281x: r: "00" +86094-86144 rgb_led_ws281x: b: "f7" 85995-86144 rgb_led_ws281x: rgb: "#00fef7" 86144-86150 rgb_led_ws281x: bit: "0" 86150-86156 rgb_led_ws281x: bit: "1" @@ -11723,6 +13127,9 @@ 86273-86279 rgb_led_ws281x: bit: "1" 86279-86285 rgb_led_ws281x: bit: "1" 86285-86292 rgb_led_ws281x: bit: "1" +86144-86193 rgb_led_ws281x: g: "7d" +86193-86242 rgb_led_ws281x: r: "00" +86242-86292 rgb_led_ws281x: b: "ef" 86144-86292 rgb_led_ws281x: rgb: "#007def" 86292-86298 rgb_led_ws281x: bit: "1" 86298-86304 rgb_led_ws281x: bit: "1" @@ -11748,6 +13155,9 @@ 86421-86427 rgb_led_ws281x: bit: "1" 86427-86433 rgb_led_ws281x: bit: "1" 86433-86440 rgb_led_ws281x: bit: "1" +86292-86341 rgb_led_ws281x: g: "ff" +86341-86390 rgb_led_ws281x: r: "00" +86390-86440 rgb_led_ws281x: b: "ff" 86292-86440 rgb_led_ws281x: rgb: "#00ffff" 86440-86446 rgb_led_ws281x: bit: "1" 86446-86452 rgb_led_ws281x: bit: "1" @@ -11773,6 +13183,9 @@ 86569-86575 rgb_led_ws281x: bit: "1" 86575-86581 rgb_led_ws281x: bit: "1" 86581-86588 rgb_led_ws281x: bit: "1" +86440-86489 rgb_led_ws281x: g: "ff" +86489-86538 rgb_led_ws281x: r: "00" +86538-86588 rgb_led_ws281x: b: "df" 86440-86588 rgb_led_ws281x: rgb: "#00ffdf" 86588-86594 rgb_led_ws281x: bit: "1" 86594-86600 rgb_led_ws281x: bit: "1" @@ -11798,6 +13211,9 @@ 86717-86723 rgb_led_ws281x: bit: "1" 86723-86730 rgb_led_ws281x: bit: "1" 86730-86736 rgb_led_ws281x: bit: "0" +86588-86637 rgb_led_ws281x: g: "f7" +86637-86686 rgb_led_ws281x: r: "00" +86686-86736 rgb_led_ws281x: b: "be" 86588-86736 rgb_led_ws281x: rgb: "#00f7be" 86736-86742 rgb_led_ws281x: bit: "1" 86742-86748 rgb_led_ws281x: bit: "1" @@ -11823,6 +13239,9 @@ 86865-86871 rgb_led_ws281x: bit: "1" 86871-86878 rgb_led_ws281x: bit: "1" 86878-86884 rgb_led_ws281x: bit: "1" +86736-86785 rgb_led_ws281x: g: "ef" +86785-86835 rgb_led_ws281x: r: "00" +86835-86884 rgb_led_ws281x: b: "7f" 86736-86884 rgb_led_ws281x: rgb: "#00ef7f" 86884-86890 rgb_led_ws281x: bit: "1" 86890-86897 rgb_led_ws281x: bit: "1" @@ -11848,6 +13267,9 @@ 87013-87020 rgb_led_ws281x: bit: "1" 87020-87026 rgb_led_ws281x: bit: "0" 87026-87032 rgb_led_ws281x: bit: "1" +86884-86933 rgb_led_ws281x: g: "df" +86933-86983 rgb_led_ws281x: r: "00" +86983-87032 rgb_led_ws281x: b: "fd" 86884-87032 rgb_led_ws281x: rgb: "#00dffd" 87032-87039 rgb_led_ws281x: bit: "1" 87039-87045 rgb_led_ws281x: bit: "0" @@ -11873,6 +13295,9 @@ 87162-87168 rgb_led_ws281x: bit: "0" 87168-87174 rgb_led_ws281x: bit: "1" 87174-87180 rgb_led_ws281x: bit: "1" +87032-87082 rgb_led_ws281x: g: "bf" +87082-87131 rgb_led_ws281x: r: "00" +87131-87180 rgb_led_ws281x: b: "fb" 87032-87180 rgb_led_ws281x: rgb: "#00bffb" 87180-87187 rgb_led_ws281x: bit: "1" 87187-87193 rgb_led_ws281x: bit: "1" @@ -11898,6 +13323,9 @@ 87310-87316 rgb_led_ws281x: bit: "1" 87316-87322 rgb_led_ws281x: bit: "1" 87322-87329 rgb_led_ws281x: bit: "1" +87180-87230 rgb_led_ws281x: g: "fe" +87230-87279 rgb_led_ws281x: r: "00" +87279-87329 rgb_led_ws281x: b: "f7" 87180-87329 rgb_led_ws281x: rgb: "#00fef7" 87329-87335 rgb_led_ws281x: bit: "1" 87335-87341 rgb_led_ws281x: bit: "1" @@ -11923,6 +13351,9 @@ 87458-87464 rgb_led_ws281x: bit: "1" 87464-87470 rgb_led_ws281x: bit: "1" 87470-87477 rgb_led_ws281x: bit: "1" +87329-87378 rgb_led_ws281x: g: "fd" +87378-87427 rgb_led_ws281x: r: "00" +87427-87477 rgb_led_ws281x: b: "ef" 87329-87477 rgb_led_ws281x: rgb: "#00fdef" 87477-87483 rgb_led_ws281x: bit: "1" 87483-87489 rgb_led_ws281x: bit: "1" @@ -11948,6 +13379,9 @@ 87606-87612 rgb_led_ws281x: bit: "1" 87612-87618 rgb_led_ws281x: bit: "1" 87618-87625 rgb_led_ws281x: bit: "1" +87477-87526 rgb_led_ws281x: g: "fb" +87526-87575 rgb_led_ws281x: r: "00" +87575-87625 rgb_led_ws281x: b: "ff" 87477-87625 rgb_led_ws281x: rgb: "#00fbff" 87625-87631 rgb_led_ws281x: bit: "1" 87631-87637 rgb_led_ws281x: bit: "1" @@ -11973,6 +13407,9 @@ 87754-87760 rgb_led_ws281x: bit: "1" 87760-87766 rgb_led_ws281x: bit: "1" 87766-87773 rgb_led_ws281x: bit: "1" +87625-87674 rgb_led_ws281x: g: "ff" +87674-87723 rgb_led_ws281x: r: "00" +87723-87773 rgb_led_ws281x: b: "ff" 87625-87773 rgb_led_ws281x: rgb: "#00ffff" 87773-87779 rgb_led_ws281x: bit: "1" 87779-87785 rgb_led_ws281x: bit: "1" @@ -11998,6 +13435,9 @@ 87902-87908 rgb_led_ws281x: bit: "1" 87908-87915 rgb_led_ws281x: bit: "1" 87915-87921 rgb_led_ws281x: bit: "0" +87773-87822 rgb_led_ws281x: g: "f7" +87822-87871 rgb_led_ws281x: r: "00" +87871-87921 rgb_led_ws281x: b: "be" 87773-87921 rgb_led_ws281x: rgb: "#00f7be" 87921-87927 rgb_led_ws281x: bit: "1" 87927-87933 rgb_led_ws281x: bit: "1" @@ -12023,6 +13463,9 @@ 88050-88057 rgb_led_ws281x: bit: "1" 88057-88063 rgb_led_ws281x: bit: "0" 88063-88069 rgb_led_ws281x: bit: "1" +87921-87970 rgb_led_ws281x: g: "ef" +87970-88020 rgb_led_ws281x: r: "00" +88020-88069 rgb_led_ws281x: b: "7d" 87921-88069 rgb_led_ws281x: rgb: "#00ef7d" 88069-88075 rgb_led_ws281x: bit: "1" 88075-88082 rgb_led_ws281x: bit: "1" @@ -12048,6 +13491,9 @@ 88198-88205 rgb_led_ws281x: bit: "1" 88205-88211 rgb_led_ws281x: bit: "1" 88211-88217 rgb_led_ws281x: bit: "1" +88069-88118 rgb_led_ws281x: g: "df" +88118-88168 rgb_led_ws281x: r: "00" +88168-88217 rgb_led_ws281x: b: "ff" 88069-88217 rgb_led_ws281x: rgb: "#00dfff" 88217-88224 rgb_led_ws281x: bit: "1" 88224-88230 rgb_led_ws281x: bit: "0" @@ -12073,6 +13519,9 @@ 88347-88353 rgb_led_ws281x: bit: "0" 88353-88359 rgb_led_ws281x: bit: "1" 88359-88366 rgb_led_ws281x: bit: "1" +88217-88267 rgb_led_ws281x: g: "bf" +88267-88316 rgb_led_ws281x: r: "00" +88316-88366 rgb_led_ws281x: b: "fb" 88217-88366 rgb_led_ws281x: rgb: "#00bffb" 88366-88372 rgb_led_ws281x: bit: "0" 88372-88378 rgb_led_ws281x: bit: "1" @@ -12098,6 +13547,9 @@ 88495-88501 rgb_led_ws281x: bit: "1" 88501-88507 rgb_led_ws281x: bit: "1" 88507-88514 rgb_led_ws281x: bit: "1" +88366-88415 rgb_led_ws281x: g: "7e" +88415-88464 rgb_led_ws281x: r: "00" +88464-88514 rgb_led_ws281x: b: "f7" 88366-88514 rgb_led_ws281x: rgb: "#007ef7" 88514-88520 rgb_led_ws281x: bit: "1" 88520-88526 rgb_led_ws281x: bit: "1" @@ -12123,6 +13575,9 @@ 88643-88649 rgb_led_ws281x: bit: "1" 88649-88655 rgb_led_ws281x: bit: "1" 88655-88662 rgb_led_ws281x: bit: "1" +88514-88563 rgb_led_ws281x: g: "fd" +88563-88612 rgb_led_ws281x: r: "00" +88612-88662 rgb_led_ws281x: b: "ef" 88514-88662 rgb_led_ws281x: rgb: "#00fdef" 88662-88668 rgb_led_ws281x: bit: "1" 88668-88674 rgb_led_ws281x: bit: "1" @@ -12148,6 +13603,9 @@ 88791-88797 rgb_led_ws281x: bit: "1" 88797-88803 rgb_led_ws281x: bit: "1" 88803-88810 rgb_led_ws281x: bit: "1" +88662-88711 rgb_led_ws281x: g: "fb" +88711-88760 rgb_led_ws281x: r: "00" +88760-88810 rgb_led_ws281x: b: "df" 88662-88810 rgb_led_ws281x: rgb: "#00fbdf" 88810-88816 rgb_led_ws281x: bit: "1" 88816-88822 rgb_led_ws281x: bit: "1" @@ -12173,6 +13631,9 @@ 88939-88945 rgb_led_ws281x: bit: "1" 88945-88951 rgb_led_ws281x: bit: "1" 88951-88958 rgb_led_ws281x: bit: "1" +88810-88859 rgb_led_ws281x: g: "ff" +88859-88908 rgb_led_ws281x: r: "00" +88908-88958 rgb_led_ws281x: b: "ff" 88810-88958 rgb_led_ws281x: rgb: "#00ffff" 88958-88964 rgb_led_ws281x: bit: "1" 88964-88970 rgb_led_ws281x: bit: "1" @@ -12198,6 +13659,9 @@ 89087-89093 rgb_led_ws281x: bit: "1" 89093-89100 rgb_led_ws281x: bit: "1" 89100-89106 rgb_led_ws281x: bit: "0" +88958-89007 rgb_led_ws281x: g: "ff" +89007-89056 rgb_led_ws281x: r: "00" +89056-89106 rgb_led_ws281x: b: "be" 88958-89106 rgb_led_ws281x: rgb: "#00ffbe" 89106-89112 rgb_led_ws281x: bit: "1" 89112-89118 rgb_led_ws281x: bit: "1" @@ -12223,6 +13687,9 @@ 89235-89242 rgb_led_ws281x: bit: "1" 89242-89248 rgb_led_ws281x: bit: "0" 89248-89254 rgb_led_ws281x: bit: "1" +89106-89155 rgb_led_ws281x: g: "ef" +89155-89205 rgb_led_ws281x: r: "00" +89205-89254 rgb_led_ws281x: b: "7d" 89106-89254 rgb_led_ws281x: rgb: "#00ef7d" 89254-89260 rgb_led_ws281x: bit: "1" 89260-89267 rgb_led_ws281x: bit: "1" @@ -12248,6 +13715,9 @@ 89383-89390 rgb_led_ws281x: bit: "1" 89390-89396 rgb_led_ws281x: bit: "1" 89396-89402 rgb_led_ws281x: bit: "1" +89254-89304 rgb_led_ws281x: g: "df" +89304-89353 rgb_led_ws281x: r: "00" +89353-89402 rgb_led_ws281x: b: "ff" 89254-89402 rgb_led_ws281x: rgb: "#00dfff" 89402-89409 rgb_led_ws281x: bit: "1" 89409-89415 rgb_led_ws281x: bit: "0" @@ -12273,6 +13743,9 @@ 89532-89538 rgb_led_ws281x: bit: "0" 89538-89544 rgb_led_ws281x: bit: "1" 89544-89551 rgb_led_ws281x: bit: "1" +89402-89452 rgb_led_ws281x: g: "bf" +89452-89501 rgb_led_ws281x: r: "00" +89501-89551 rgb_led_ws281x: b: "fb" 89402-89551 rgb_led_ws281x: rgb: "#00bffb" 89551-89557 rgb_led_ws281x: bit: "0" 89557-89563 rgb_led_ws281x: bit: "1" @@ -12298,6 +13771,9 @@ 89680-89686 rgb_led_ws281x: bit: "1" 89686-89692 rgb_led_ws281x: bit: "1" 89692-89699 rgb_led_ws281x: bit: "1" +89551-89600 rgb_led_ws281x: g: "7e" +89600-89649 rgb_led_ws281x: r: "00" +89649-89699 rgb_led_ws281x: b: "f7" 89551-89699 rgb_led_ws281x: rgb: "#007ef7" 89699-89705 rgb_led_ws281x: bit: "1" 89705-89711 rgb_led_ws281x: bit: "1" @@ -12323,6 +13799,9 @@ 89828-89834 rgb_led_ws281x: bit: "1" 89834-89840 rgb_led_ws281x: bit: "1" 89840-89847 rgb_led_ws281x: bit: "1" +89699-89748 rgb_led_ws281x: g: "fd" +89748-89797 rgb_led_ws281x: r: "00" +89797-89847 rgb_led_ws281x: b: "ef" 89699-89847 rgb_led_ws281x: rgb: "#00fdef" 89847-89853 rgb_led_ws281x: bit: "1" 89853-89859 rgb_led_ws281x: bit: "1" @@ -12348,6 +13827,9 @@ 89976-89982 rgb_led_ws281x: bit: "1" 89982-89988 rgb_led_ws281x: bit: "1" 89988-89995 rgb_led_ws281x: bit: "1" +89847-89896 rgb_led_ws281x: g: "fb" +89896-89945 rgb_led_ws281x: r: "00" +89945-89995 rgb_led_ws281x: b: "df" 89847-89995 rgb_led_ws281x: rgb: "#00fbdf" 89995-90001 rgb_led_ws281x: bit: "1" 90001-90007 rgb_led_ws281x: bit: "1" @@ -12373,6 +13855,9 @@ 90124-90130 rgb_led_ws281x: bit: "1" 90130-90136 rgb_led_ws281x: bit: "1" 90136-90143 rgb_led_ws281x: bit: "1" +89995-90044 rgb_led_ws281x: g: "f7" +90044-90093 rgb_led_ws281x: r: "00" +90093-90143 rgb_led_ws281x: b: "bf" 89995-90143 rgb_led_ws281x: rgb: "#00f7bf" 90143-90149 rgb_led_ws281x: bit: "1" 90149-90155 rgb_led_ws281x: bit: "1" @@ -12398,6 +13883,9 @@ 90272-90278 rgb_led_ws281x: bit: "1" 90278-90285 rgb_led_ws281x: bit: "1" 90285-90291 rgb_led_ws281x: bit: "0" +90143-90192 rgb_led_ws281x: g: "ff" +90192-90241 rgb_led_ws281x: r: "00" +90241-90291 rgb_led_ws281x: b: "fe" 90143-90291 rgb_led_ws281x: rgb: "#00fffe" 90291-90297 rgb_led_ws281x: bit: "1" 90297-90303 rgb_led_ws281x: bit: "1" @@ -12423,6 +13911,9 @@ 90420-90427 rgb_led_ws281x: bit: "1" 90427-90433 rgb_led_ws281x: bit: "0" 90433-90439 rgb_led_ws281x: bit: "1" +90291-90340 rgb_led_ws281x: g: "ef" +90340-90390 rgb_led_ws281x: r: "00" +90390-90439 rgb_led_ws281x: b: "7d" 90291-90439 rgb_led_ws281x: rgb: "#00ef7d" 90439-90445 rgb_led_ws281x: bit: "1" 90445-90452 rgb_led_ws281x: bit: "1" @@ -12448,6 +13939,9 @@ 90568-90575 rgb_led_ws281x: bit: "1" 90575-90581 rgb_led_ws281x: bit: "1" 90581-90587 rgb_led_ws281x: bit: "1" +90439-90489 rgb_led_ws281x: g: "df" +90489-90538 rgb_led_ws281x: r: "00" +90538-90587 rgb_led_ws281x: b: "ff" 90439-90587 rgb_led_ws281x: rgb: "#00dfff" 90587-90594 rgb_led_ws281x: bit: "1" 90594-90600 rgb_led_ws281x: bit: "0" @@ -12473,6 +13967,9 @@ 90717-90723 rgb_led_ws281x: bit: "1" 90723-90729 rgb_led_ws281x: bit: "1" 90729-90736 rgb_led_ws281x: bit: "1" +90587-90637 rgb_led_ws281x: g: "bf" +90637-90686 rgb_led_ws281x: r: "00" +90686-90736 rgb_led_ws281x: b: "ff" 90587-90736 rgb_led_ws281x: rgb: "#00bfff" 90736-90742 rgb_led_ws281x: bit: "0" 90742-90748 rgb_led_ws281x: bit: "1" @@ -12498,6 +13995,9 @@ 90865-90871 rgb_led_ws281x: bit: "1" 90871-90877 rgb_led_ws281x: bit: "1" 90877-90884 rgb_led_ws281x: bit: "1" +90736-90785 rgb_led_ws281x: g: "7f" +90785-90834 rgb_led_ws281x: r: "00" +90834-90884 rgb_led_ws281x: b: "f7" 90736-90884 rgb_led_ws281x: rgb: "#007ff7" 90884-90890 rgb_led_ws281x: bit: "1" 90890-90896 rgb_led_ws281x: bit: "1" @@ -12523,6 +14023,9 @@ 91013-91019 rgb_led_ws281x: bit: "1" 91019-91025 rgb_led_ws281x: bit: "1" 91025-91032 rgb_led_ws281x: bit: "1" +90884-90933 rgb_led_ws281x: g: "fd" +90933-90982 rgb_led_ws281x: r: "00" +90982-91032 rgb_led_ws281x: b: "ef" 90884-91032 rgb_led_ws281x: rgb: "#00fdef" 91032-91038 rgb_led_ws281x: bit: "1" 91038-91044 rgb_led_ws281x: bit: "1" @@ -12548,6 +14051,9 @@ 91161-91167 rgb_led_ws281x: bit: "1" 91167-91173 rgb_led_ws281x: bit: "1" 91173-91180 rgb_led_ws281x: bit: "1" +91032-91081 rgb_led_ws281x: g: "fb" +91081-91130 rgb_led_ws281x: r: "00" +91130-91180 rgb_led_ws281x: b: "df" 91032-91180 rgb_led_ws281x: rgb: "#00fbdf" 91180-91186 rgb_led_ws281x: bit: "1" 91186-91192 rgb_led_ws281x: bit: "1" @@ -12573,6 +14079,9 @@ 91309-91315 rgb_led_ws281x: bit: "1" 91315-91321 rgb_led_ws281x: bit: "1" 91321-91328 rgb_led_ws281x: bit: "1" +91180-91229 rgb_led_ws281x: g: "f7" +91229-91278 rgb_led_ws281x: r: "00" +91278-91328 rgb_led_ws281x: b: "bf" 91180-91328 rgb_led_ws281x: rgb: "#00f7bf" 91328-91334 rgb_led_ws281x: bit: "1" 91334-91340 rgb_led_ws281x: bit: "1" @@ -12598,6 +14107,9 @@ 91457-91463 rgb_led_ws281x: bit: "1" 91463-91470 rgb_led_ws281x: bit: "1" 91470-91476 rgb_led_ws281x: bit: "0" +91328-91377 rgb_led_ws281x: g: "ef" +91377-91427 rgb_led_ws281x: r: "00" +91427-91476 rgb_led_ws281x: b: "7e" 91328-91476 rgb_led_ws281x: rgb: "#00ef7e" 91476-91482 rgb_led_ws281x: bit: "1" 91482-91488 rgb_led_ws281x: bit: "1" @@ -12623,6 +14135,9 @@ 91605-91612 rgb_led_ws281x: bit: "1" 91612-91618 rgb_led_ws281x: bit: "0" 91618-91624 rgb_led_ws281x: bit: "1" +91476-91525 rgb_led_ws281x: g: "ff" +91525-91575 rgb_led_ws281x: r: "00" +91575-91624 rgb_led_ws281x: b: "7d" 91476-91624 rgb_led_ws281x: rgb: "#00ff7d" 91624-91630 rgb_led_ws281x: bit: "1" 91630-91637 rgb_led_ws281x: bit: "1" @@ -12648,6 +14163,9 @@ 91754-91760 rgb_led_ws281x: bit: "0" 91760-91766 rgb_led_ws281x: bit: "1" 91766-91772 rgb_led_ws281x: bit: "1" +91624-91674 rgb_led_ws281x: g: "df" +91674-91723 rgb_led_ws281x: r: "00" +91723-91772 rgb_led_ws281x: b: "fb" 91624-91772 rgb_led_ws281x: rgb: "#00dffb" 91772-91779 rgb_led_ws281x: bit: "1" 91779-91785 rgb_led_ws281x: bit: "0" @@ -12673,6 +14191,9 @@ 91902-91908 rgb_led_ws281x: bit: "1" 91908-91914 rgb_led_ws281x: bit: "1" 91914-91921 rgb_led_ws281x: bit: "1" +91772-91822 rgb_led_ws281x: g: "be" +91822-91871 rgb_led_ws281x: r: "00" +91871-91921 rgb_led_ws281x: b: "ff" 91772-91921 rgb_led_ws281x: rgb: "#00beff" 91921-91927 rgb_led_ws281x: bit: "0" 91927-91933 rgb_led_ws281x: bit: "1" @@ -12698,6 +14219,9 @@ 92050-92056 rgb_led_ws281x: bit: "1" 92056-92062 rgb_led_ws281x: bit: "1" 92062-92069 rgb_led_ws281x: bit: "1" +91921-91970 rgb_led_ws281x: g: "7f" +91970-92019 rgb_led_ws281x: r: "00" +92019-92069 rgb_led_ws281x: b: "f7" 91921-92069 rgb_led_ws281x: rgb: "#007ff7" 92069-92075 rgb_led_ws281x: bit: "1" 92075-92081 rgb_led_ws281x: bit: "1" @@ -12723,6 +14247,9 @@ 92198-92204 rgb_led_ws281x: bit: "1" 92204-92210 rgb_led_ws281x: bit: "1" 92210-92217 rgb_led_ws281x: bit: "1" +92069-92118 rgb_led_ws281x: g: "fd" +92118-92167 rgb_led_ws281x: r: "00" +92167-92217 rgb_led_ws281x: b: "ef" 92069-92217 rgb_led_ws281x: rgb: "#00fdef" 92217-92223 rgb_led_ws281x: bit: "1" 92223-92229 rgb_led_ws281x: bit: "1" @@ -12747,6 +14274,9 @@ 92340-92346 rgb_led_ws281x: bit: "1" 92346-92352 rgb_led_ws281x: bit: "1" 92352-92358 rgb_led_ws281x: bit: "1" +92217-92266 rgb_led_ws281x: g: "fb" +92266-92315 rgb_led_ws281x: r: "00" +92315-92362 rgb_led_ws281x: b: "df" 92217-92362 rgb_led_ws281x: rgb: "#00fbdf" 92358-92362 rgb_led_ws281x: bit: "1" 92362-92613 rgb_led_ws281x: reset: "RESET" "RST" "R"