X-Git-Url: https://sigrok.org/gitweb/?a=blobdiff_plain;f=decoder%2Ftest%2Frgb_led_ws281x%2Fws2812b_neopixel24_4mhz_snippet.output;h=d9cf872287badc3b249a4640272d3760d3ce9882;hb=HEAD;hp=3b1cb808ef090503b66d8cfb151a8dd9a111c1b4;hpb=8c7a874649258886e17f3a2c3bc0c36ade4f9da7;p=sigrok-test.git diff --git a/decoder/test/rgb_led_ws281x/ws2812b_neopixel24_4mhz_snippet.output b/decoder/test/rgb_led_ws281x/ws2812b_neopixel24_4mhz_snippet.output index 3b1cb80..d9cf872 100644 --- a/decoder/test/rgb_led_ws281x/ws2812b_neopixel24_4mhz_snippet.output +++ b/decoder/test/rgb_led_ws281x/ws2812b_neopixel24_4mhz_snippet.output @@ -22,6 +22,9 @@ 1767-1772 rgb_led_ws281x: bit: "0" 1772-1777 rgb_led_ws281x: bit: "0" 1777-1782 rgb_led_ws281x: bit: "0" +1662-1702 rgb_led_ws281x: g: "00" +1702-1742 rgb_led_ws281x: r: "00" +1742-1782 rgb_led_ws281x: b: "00" 1662-1782 rgb_led_ws281x: rgb: "#000000" 1782-1787 rgb_led_ws281x: bit: "0" 1787-1792 rgb_led_ws281x: bit: "0" @@ -47,6 +50,9 @@ 1887-1892 rgb_led_ws281x: bit: "0" 1892-1897 rgb_led_ws281x: bit: "0" 1897-1902 rgb_led_ws281x: bit: "0" +1782-1822 rgb_led_ws281x: g: "00" +1822-1862 rgb_led_ws281x: r: "00" +1862-1902 rgb_led_ws281x: b: "00" 1782-1902 rgb_led_ws281x: rgb: "#000000" 1902-1907 rgb_led_ws281x: bit: "0" 1907-1912 rgb_led_ws281x: bit: "0" @@ -72,6 +78,9 @@ 2007-2012 rgb_led_ws281x: bit: "0" 2012-2017 rgb_led_ws281x: bit: "0" 2017-2022 rgb_led_ws281x: bit: "0" +1902-1942 rgb_led_ws281x: g: "00" +1942-1982 rgb_led_ws281x: r: "00" +1982-2022 rgb_led_ws281x: b: "00" 1902-2022 rgb_led_ws281x: rgb: "#000000" 2022-2027 rgb_led_ws281x: bit: "0" 2027-2032 rgb_led_ws281x: bit: "0" @@ -97,6 +106,9 @@ 2127-2132 rgb_led_ws281x: bit: "0" 2132-2137 rgb_led_ws281x: bit: "0" 2137-2142 rgb_led_ws281x: bit: "0" +2022-2062 rgb_led_ws281x: g: "00" +2062-2102 rgb_led_ws281x: r: "00" +2102-2142 rgb_led_ws281x: b: "00" 2022-2142 rgb_led_ws281x: rgb: "#000000" 2142-2147 rgb_led_ws281x: bit: "0" 2147-2152 rgb_led_ws281x: bit: "0" @@ -122,6 +134,9 @@ 2247-2252 rgb_led_ws281x: bit: "0" 2252-2257 rgb_led_ws281x: bit: "0" 2257-2262 rgb_led_ws281x: bit: "0" +2142-2182 rgb_led_ws281x: g: "00" +2182-2222 rgb_led_ws281x: r: "00" +2222-2262 rgb_led_ws281x: b: "00" 2142-2262 rgb_led_ws281x: rgb: "#000000" 2262-2267 rgb_led_ws281x: bit: "0" 2267-2272 rgb_led_ws281x: bit: "0" @@ -147,6 +162,9 @@ 2367-2372 rgb_led_ws281x: bit: "0" 2372-2377 rgb_led_ws281x: bit: "0" 2377-2382 rgb_led_ws281x: bit: "0" +2262-2302 rgb_led_ws281x: g: "00" +2302-2342 rgb_led_ws281x: r: "00" +2342-2382 rgb_led_ws281x: b: "00" 2262-2382 rgb_led_ws281x: rgb: "#000000" 2382-2387 rgb_led_ws281x: bit: "0" 2387-2392 rgb_led_ws281x: bit: "0" @@ -172,6 +190,9 @@ 2487-2492 rgb_led_ws281x: bit: "0" 2492-2497 rgb_led_ws281x: bit: "0" 2497-2502 rgb_led_ws281x: bit: "1" +2382-2422 rgb_led_ws281x: g: "01" +2422-2462 rgb_led_ws281x: r: "00" +2462-2502 rgb_led_ws281x: b: "01" 2382-2502 rgb_led_ws281x: rgb: "#000101" 2502-2507 rgb_led_ws281x: bit: "0" 2507-2512 rgb_led_ws281x: bit: "0" @@ -197,6 +218,9 @@ 2607-2612 rgb_led_ws281x: bit: "0" 2612-2617 rgb_led_ws281x: bit: "1" 2617-2622 rgb_led_ws281x: bit: "1" +2502-2542 rgb_led_ws281x: g: "03" +2542-2582 rgb_led_ws281x: r: "00" +2582-2622 rgb_led_ws281x: b: "03" 2502-2622 rgb_led_ws281x: rgb: "#000303" 2622-2627 rgb_led_ws281x: bit: "0" 2627-2632 rgb_led_ws281x: bit: "0" @@ -222,6 +246,9 @@ 2727-2732 rgb_led_ws281x: bit: "1" 2732-2737 rgb_led_ws281x: bit: "1" 2737-2742 rgb_led_ws281x: bit: "1" +2622-2662 rgb_led_ws281x: g: "07" +2662-2702 rgb_led_ws281x: r: "00" +2702-2742 rgb_led_ws281x: b: "07" 2622-2742 rgb_led_ws281x: rgb: "#000707" 2742-2747 rgb_led_ws281x: bit: "0" 2747-2752 rgb_led_ws281x: bit: "0" @@ -247,6 +274,9 @@ 2847-2852 rgb_led_ws281x: bit: "1" 2852-2857 rgb_led_ws281x: bit: "0" 2857-2862 rgb_led_ws281x: bit: "1" +2742-2782 rgb_led_ws281x: g: "0e" +2782-2822 rgb_led_ws281x: r: "00" +2822-2862 rgb_led_ws281x: b: "0d" 2742-2862 rgb_led_ws281x: rgb: "#000e0d" 2862-2867 rgb_led_ws281x: bit: "0" 2867-2872 rgb_led_ws281x: bit: "0" @@ -272,6 +302,9 @@ 2967-2972 rgb_led_ws281x: bit: "1" 2972-2977 rgb_led_ws281x: bit: "1" 2977-2982 rgb_led_ws281x: bit: "0" +2862-2902 rgb_led_ws281x: g: "17" +2902-2942 rgb_led_ws281x: r: "00" +2942-2982 rgb_led_ws281x: b: "16" 2862-2982 rgb_led_ws281x: rgb: "#001716" 2982-2987 rgb_led_ws281x: bit: "0" 2987-2992 rgb_led_ws281x: bit: "0" @@ -297,6 +330,9 @@ 3087-3092 rgb_led_ws281x: bit: "0" 3092-3097 rgb_led_ws281x: bit: "0" 3097-3102 rgb_led_ws281x: bit: "1" +2982-3022 rgb_led_ws281x: g: "23" +3022-3062 rgb_led_ws281x: r: "00" +3062-3102 rgb_led_ws281x: b: "21" 2982-3102 rgb_led_ws281x: rgb: "#002321" 3102-3107 rgb_led_ws281x: bit: "0" 3107-3112 rgb_led_ws281x: bit: "0" @@ -322,6 +358,9 @@ 3207-3212 rgb_led_ws281x: bit: "1" 3212-3217 rgb_led_ws281x: bit: "1" 3217-3222 rgb_led_ws281x: bit: "0" +3102-3142 rgb_led_ws281x: g: "31" +3142-3182 rgb_led_ws281x: r: "00" +3182-3222 rgb_led_ws281x: b: "2e" 3102-3222 rgb_led_ws281x: rgb: "#00312e" 3222-3227 rgb_led_ws281x: bit: "0" 3227-3232 rgb_led_ws281x: bit: "0" @@ -347,6 +386,9 @@ 3327-3332 rgb_led_ws281x: bit: "0" 3332-3337 rgb_led_ws281x: bit: "0" 3337-3342 rgb_led_ws281x: bit: "0" +3222-3262 rgb_led_ws281x: g: "00" +3262-3302 rgb_led_ws281x: r: "00" +3302-3342 rgb_led_ws281x: b: "00" 3222-3342 rgb_led_ws281x: rgb: "#000000" 3342-3347 rgb_led_ws281x: bit: "0" 3347-3352 rgb_led_ws281x: bit: "0" @@ -372,6 +414,9 @@ 3447-3452 rgb_led_ws281x: bit: "0" 3452-3457 rgb_led_ws281x: bit: "0" 3457-3462 rgb_led_ws281x: bit: "0" +3342-3382 rgb_led_ws281x: g: "00" +3382-3422 rgb_led_ws281x: r: "00" +3422-3462 rgb_led_ws281x: b: "00" 3342-3462 rgb_led_ws281x: rgb: "#000000" 3462-3467 rgb_led_ws281x: bit: "0" 3467-3472 rgb_led_ws281x: bit: "0" @@ -397,6 +442,9 @@ 3567-3572 rgb_led_ws281x: bit: "0" 3572-3577 rgb_led_ws281x: bit: "0" 3577-3582 rgb_led_ws281x: bit: "0" +3462-3502 rgb_led_ws281x: g: "00" +3502-3542 rgb_led_ws281x: r: "00" +3542-3582 rgb_led_ws281x: b: "00" 3462-3582 rgb_led_ws281x: rgb: "#000000" 3582-3587 rgb_led_ws281x: bit: "0" 3587-3592 rgb_led_ws281x: bit: "0" @@ -422,6 +470,9 @@ 3687-3692 rgb_led_ws281x: bit: "0" 3692-3697 rgb_led_ws281x: bit: "0" 3697-3702 rgb_led_ws281x: bit: "0" +3582-3622 rgb_led_ws281x: g: "00" +3622-3662 rgb_led_ws281x: r: "00" +3662-3702 rgb_led_ws281x: b: "00" 3582-3702 rgb_led_ws281x: rgb: "#000000" 3702-3707 rgb_led_ws281x: bit: "0" 3707-3712 rgb_led_ws281x: bit: "0" @@ -447,6 +498,9 @@ 3807-3812 rgb_led_ws281x: bit: "0" 3812-3817 rgb_led_ws281x: bit: "0" 3817-3822 rgb_led_ws281x: bit: "0" +3702-3742 rgb_led_ws281x: g: "00" +3742-3782 rgb_led_ws281x: r: "00" +3782-3822 rgb_led_ws281x: b: "00" 3702-3822 rgb_led_ws281x: rgb: "#000000" 3822-3827 rgb_led_ws281x: bit: "0" 3827-3832 rgb_led_ws281x: bit: "0" @@ -472,6 +526,9 @@ 3927-3932 rgb_led_ws281x: bit: "0" 3932-3937 rgb_led_ws281x: bit: "0" 3937-3942 rgb_led_ws281x: bit: "0" +3822-3862 rgb_led_ws281x: g: "00" +3862-3902 rgb_led_ws281x: r: "00" +3902-3942 rgb_led_ws281x: b: "00" 3822-3942 rgb_led_ws281x: rgb: "#000000" 3942-3947 rgb_led_ws281x: bit: "0" 3947-3952 rgb_led_ws281x: bit: "0" @@ -497,6 +554,9 @@ 4047-4052 rgb_led_ws281x: bit: "0" 4052-4057 rgb_led_ws281x: bit: "0" 4057-4062 rgb_led_ws281x: bit: "0" +3942-3982 rgb_led_ws281x: g: "00" +3982-4022 rgb_led_ws281x: r: "00" +4022-4062 rgb_led_ws281x: b: "00" 3942-4062 rgb_led_ws281x: rgb: "#000000" 4062-4067 rgb_led_ws281x: bit: "0" 4067-4072 rgb_led_ws281x: bit: "0" @@ -522,6 +582,9 @@ 4167-4172 rgb_led_ws281x: bit: "0" 4172-4177 rgb_led_ws281x: bit: "0" 4177-4182 rgb_led_ws281x: bit: "0" +4062-4102 rgb_led_ws281x: g: "00" +4102-4142 rgb_led_ws281x: r: "00" +4142-4182 rgb_led_ws281x: b: "00" 4062-4182 rgb_led_ws281x: rgb: "#000000" 4182-4187 rgb_led_ws281x: bit: "0" 4187-4192 rgb_led_ws281x: bit: "0" @@ -547,6 +610,9 @@ 4287-4292 rgb_led_ws281x: bit: "0" 4292-4297 rgb_led_ws281x: bit: "0" 4297-4302 rgb_led_ws281x: bit: "0" +4182-4222 rgb_led_ws281x: g: "00" +4222-4262 rgb_led_ws281x: r: "00" +4262-4302 rgb_led_ws281x: b: "00" 4182-4302 rgb_led_ws281x: rgb: "#000000" 4302-4307 rgb_led_ws281x: bit: "0" 4307-4312 rgb_led_ws281x: bit: "0" @@ -572,6 +638,9 @@ 4407-4412 rgb_led_ws281x: bit: "0" 4412-4417 rgb_led_ws281x: bit: "0" 4417-4422 rgb_led_ws281x: bit: "0" +4302-4342 rgb_led_ws281x: g: "00" +4342-4382 rgb_led_ws281x: r: "00" +4382-4422 rgb_led_ws281x: b: "00" 4302-4422 rgb_led_ws281x: rgb: "#000000" 4422-4427 rgb_led_ws281x: bit: "0" 4427-4432 rgb_led_ws281x: bit: "0" @@ -596,6 +665,9 @@ 4522-4527 rgb_led_ws281x: bit: "0" 4527-4532 rgb_led_ws281x: bit: "0" 4532-4537 rgb_led_ws281x: bit: "0" +4422-4462 rgb_led_ws281x: g: "00" +4462-4502 rgb_led_ws281x: r: "00" +4502-4538 rgb_led_ws281x: b: "00" 4422-4538 rgb_led_ws281x: rgb: "#000000" 4537-4538 rgb_led_ws281x: bit: "0" 4538-4739 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -623,6 +695,9 @@ 8577-8582 rgb_led_ws281x: bit: "0" 8582-8587 rgb_led_ws281x: bit: "0" 8587-8592 rgb_led_ws281x: bit: "0" +8472-8512 rgb_led_ws281x: g: "00" +8512-8552 rgb_led_ws281x: r: "00" +8552-8592 rgb_led_ws281x: b: "00" 8472-8592 rgb_led_ws281x: rgb: "#000000" 8592-8597 rgb_led_ws281x: bit: "0" 8597-8602 rgb_led_ws281x: bit: "0" @@ -648,6 +723,9 @@ 8697-8702 rgb_led_ws281x: bit: "0" 8702-8707 rgb_led_ws281x: bit: "0" 8707-8712 rgb_led_ws281x: bit: "0" +8592-8632 rgb_led_ws281x: g: "00" +8632-8672 rgb_led_ws281x: r: "00" +8672-8712 rgb_led_ws281x: b: "00" 8592-8712 rgb_led_ws281x: rgb: "#000000" 8712-8717 rgb_led_ws281x: bit: "0" 8717-8722 rgb_led_ws281x: bit: "0" @@ -673,6 +751,9 @@ 8817-8822 rgb_led_ws281x: bit: "0" 8822-8827 rgb_led_ws281x: bit: "0" 8827-8832 rgb_led_ws281x: bit: "0" +8712-8752 rgb_led_ws281x: g: "00" +8752-8792 rgb_led_ws281x: r: "00" +8792-8832 rgb_led_ws281x: b: "00" 8712-8832 rgb_led_ws281x: rgb: "#000000" 8832-8837 rgb_led_ws281x: bit: "0" 8837-8842 rgb_led_ws281x: bit: "0" @@ -698,6 +779,9 @@ 8937-8942 rgb_led_ws281x: bit: "0" 8942-8947 rgb_led_ws281x: bit: "0" 8947-8952 rgb_led_ws281x: bit: "0" +8832-8872 rgb_led_ws281x: g: "00" +8872-8912 rgb_led_ws281x: r: "00" +8912-8952 rgb_led_ws281x: b: "00" 8832-8952 rgb_led_ws281x: rgb: "#000000" 8952-8957 rgb_led_ws281x: bit: "0" 8957-8962 rgb_led_ws281x: bit: "0" @@ -723,6 +807,9 @@ 9057-9062 rgb_led_ws281x: bit: "0" 9062-9067 rgb_led_ws281x: bit: "0" 9067-9072 rgb_led_ws281x: bit: "0" +8952-8992 rgb_led_ws281x: g: "00" +8992-9032 rgb_led_ws281x: r: "00" +9032-9072 rgb_led_ws281x: b: "00" 8952-9072 rgb_led_ws281x: rgb: "#000000" 9072-9077 rgb_led_ws281x: bit: "0" 9077-9082 rgb_led_ws281x: bit: "0" @@ -748,6 +835,9 @@ 9177-9182 rgb_led_ws281x: bit: "0" 9182-9187 rgb_led_ws281x: bit: "0" 9187-9192 rgb_led_ws281x: bit: "0" +9072-9112 rgb_led_ws281x: g: "00" +9112-9152 rgb_led_ws281x: r: "00" +9152-9192 rgb_led_ws281x: b: "00" 9072-9192 rgb_led_ws281x: rgb: "#000000" 9192-9197 rgb_led_ws281x: bit: "0" 9197-9202 rgb_led_ws281x: bit: "0" @@ -773,6 +863,9 @@ 9297-9302 rgb_led_ws281x: bit: "0" 9302-9307 rgb_led_ws281x: bit: "0" 9307-9312 rgb_led_ws281x: bit: "0" +9192-9232 rgb_led_ws281x: g: "01" +9232-9272 rgb_led_ws281x: r: "00" +9272-9312 rgb_led_ws281x: b: "00" 9192-9312 rgb_led_ws281x: rgb: "#000100" 9312-9317 rgb_led_ws281x: bit: "0" 9317-9322 rgb_led_ws281x: bit: "0" @@ -798,6 +891,9 @@ 9417-9422 rgb_led_ws281x: bit: "0" 9422-9427 rgb_led_ws281x: bit: "1" 9427-9432 rgb_led_ws281x: bit: "1" +9312-9352 rgb_led_ws281x: g: "03" +9352-9392 rgb_led_ws281x: r: "00" +9392-9432 rgb_led_ws281x: b: "03" 9312-9432 rgb_led_ws281x: rgb: "#000303" 9432-9437 rgb_led_ws281x: bit: "0" 9437-9442 rgb_led_ws281x: bit: "0" @@ -823,6 +919,9 @@ 9537-9542 rgb_led_ws281x: bit: "1" 9542-9547 rgb_led_ws281x: bit: "1" 9547-9552 rgb_led_ws281x: bit: "1" +9432-9472 rgb_led_ws281x: g: "07" +9472-9512 rgb_led_ws281x: r: "00" +9512-9552 rgb_led_ws281x: b: "07" 9432-9552 rgb_led_ws281x: rgb: "#000707" 9552-9557 rgb_led_ws281x: bit: "0" 9557-9562 rgb_led_ws281x: bit: "0" @@ -848,6 +947,9 @@ 9657-9662 rgb_led_ws281x: bit: "1" 9662-9667 rgb_led_ws281x: bit: "0" 9667-9672 rgb_led_ws281x: bit: "1" +9552-9592 rgb_led_ws281x: g: "0e" +9592-9632 rgb_led_ws281x: r: "00" +9632-9672 rgb_led_ws281x: b: "0d" 9552-9672 rgb_led_ws281x: rgb: "#000e0d" 9672-9677 rgb_led_ws281x: bit: "0" 9677-9682 rgb_led_ws281x: bit: "0" @@ -873,6 +975,9 @@ 9777-9782 rgb_led_ws281x: bit: "1" 9782-9787 rgb_led_ws281x: bit: "0" 9787-9792 rgb_led_ws281x: bit: "1" +9672-9712 rgb_led_ws281x: g: "16" +9712-9752 rgb_led_ws281x: r: "00" +9752-9792 rgb_led_ws281x: b: "15" 9672-9792 rgb_led_ws281x: rgb: "#001615" 9792-9797 rgb_led_ws281x: bit: "0" 9797-9802 rgb_led_ws281x: bit: "0" @@ -898,6 +1003,9 @@ 9897-9902 rgb_led_ws281x: bit: "0" 9902-9907 rgb_led_ws281x: bit: "0" 9907-9912 rgb_led_ws281x: bit: "0" +9792-9832 rgb_led_ws281x: g: "22" +9832-9872 rgb_led_ws281x: r: "00" +9872-9912 rgb_led_ws281x: b: "20" 9792-9912 rgb_led_ws281x: rgb: "#002220" 9912-9917 rgb_led_ws281x: bit: "0" 9917-9922 rgb_led_ws281x: bit: "0" @@ -923,6 +1031,9 @@ 10017-10022 rgb_led_ws281x: bit: "1" 10022-10027 rgb_led_ws281x: bit: "0" 10027-10032 rgb_led_ws281x: bit: "1" +9912-9952 rgb_led_ws281x: g: "31" +9952-9992 rgb_led_ws281x: r: "00" +9992-10032 rgb_led_ws281x: b: "2d" 9912-10032 rgb_led_ws281x: rgb: "#00312d" 10032-10037 rgb_led_ws281x: bit: "0" 10037-10042 rgb_led_ws281x: bit: "0" @@ -948,6 +1059,9 @@ 10137-10142 rgb_led_ws281x: bit: "0" 10142-10147 rgb_led_ws281x: bit: "0" 10147-10152 rgb_led_ws281x: bit: "0" +10032-10072 rgb_led_ws281x: g: "00" +10072-10112 rgb_led_ws281x: r: "00" +10112-10152 rgb_led_ws281x: b: "00" 10032-10152 rgb_led_ws281x: rgb: "#000000" 10152-10157 rgb_led_ws281x: bit: "0" 10157-10162 rgb_led_ws281x: bit: "0" @@ -973,6 +1087,9 @@ 10257-10262 rgb_led_ws281x: bit: "0" 10262-10267 rgb_led_ws281x: bit: "0" 10267-10272 rgb_led_ws281x: bit: "0" +10152-10192 rgb_led_ws281x: g: "00" +10192-10232 rgb_led_ws281x: r: "00" +10232-10272 rgb_led_ws281x: b: "00" 10152-10272 rgb_led_ws281x: rgb: "#000000" 10272-10277 rgb_led_ws281x: bit: "0" 10277-10282 rgb_led_ws281x: bit: "0" @@ -998,6 +1115,9 @@ 10377-10382 rgb_led_ws281x: bit: "0" 10382-10387 rgb_led_ws281x: bit: "0" 10387-10392 rgb_led_ws281x: bit: "0" +10272-10312 rgb_led_ws281x: g: "00" +10312-10352 rgb_led_ws281x: r: "00" +10352-10392 rgb_led_ws281x: b: "00" 10272-10392 rgb_led_ws281x: rgb: "#000000" 10392-10397 rgb_led_ws281x: bit: "0" 10397-10402 rgb_led_ws281x: bit: "0" @@ -1023,6 +1143,9 @@ 10497-10502 rgb_led_ws281x: bit: "0" 10502-10507 rgb_led_ws281x: bit: "0" 10507-10512 rgb_led_ws281x: bit: "0" +10392-10432 rgb_led_ws281x: g: "00" +10432-10472 rgb_led_ws281x: r: "00" +10472-10512 rgb_led_ws281x: b: "00" 10392-10512 rgb_led_ws281x: rgb: "#000000" 10512-10517 rgb_led_ws281x: bit: "0" 10517-10522 rgb_led_ws281x: bit: "0" @@ -1048,6 +1171,9 @@ 10617-10622 rgb_led_ws281x: bit: "0" 10622-10627 rgb_led_ws281x: bit: "0" 10627-10632 rgb_led_ws281x: bit: "0" +10512-10552 rgb_led_ws281x: g: "00" +10552-10592 rgb_led_ws281x: r: "00" +10592-10632 rgb_led_ws281x: b: "00" 10512-10632 rgb_led_ws281x: rgb: "#000000" 10632-10637 rgb_led_ws281x: bit: "0" 10637-10642 rgb_led_ws281x: bit: "0" @@ -1073,6 +1199,9 @@ 10737-10742 rgb_led_ws281x: bit: "0" 10742-10747 rgb_led_ws281x: bit: "0" 10747-10752 rgb_led_ws281x: bit: "0" +10632-10672 rgb_led_ws281x: g: "00" +10672-10712 rgb_led_ws281x: r: "00" +10712-10752 rgb_led_ws281x: b: "00" 10632-10752 rgb_led_ws281x: rgb: "#000000" 10752-10757 rgb_led_ws281x: bit: "0" 10757-10762 rgb_led_ws281x: bit: "0" @@ -1098,6 +1227,9 @@ 10857-10862 rgb_led_ws281x: bit: "0" 10862-10867 rgb_led_ws281x: bit: "0" 10867-10872 rgb_led_ws281x: bit: "0" +10752-10792 rgb_led_ws281x: g: "00" +10792-10832 rgb_led_ws281x: r: "00" +10832-10872 rgb_led_ws281x: b: "00" 10752-10872 rgb_led_ws281x: rgb: "#000000" 10872-10877 rgb_led_ws281x: bit: "0" 10877-10882 rgb_led_ws281x: bit: "0" @@ -1123,6 +1255,9 @@ 10977-10982 rgb_led_ws281x: bit: "0" 10982-10987 rgb_led_ws281x: bit: "0" 10987-10992 rgb_led_ws281x: bit: "0" +10872-10912 rgb_led_ws281x: g: "00" +10912-10952 rgb_led_ws281x: r: "00" +10952-10992 rgb_led_ws281x: b: "00" 10872-10992 rgb_led_ws281x: rgb: "#000000" 10992-10997 rgb_led_ws281x: bit: "0" 10997-11002 rgb_led_ws281x: bit: "0" @@ -1148,6 +1283,9 @@ 11097-11102 rgb_led_ws281x: bit: "0" 11102-11107 rgb_led_ws281x: bit: "0" 11107-11112 rgb_led_ws281x: bit: "0" +10992-11032 rgb_led_ws281x: g: "00" +11032-11072 rgb_led_ws281x: r: "00" +11072-11112 rgb_led_ws281x: b: "00" 10992-11112 rgb_led_ws281x: rgb: "#000000" 11112-11117 rgb_led_ws281x: bit: "0" 11117-11122 rgb_led_ws281x: bit: "0" @@ -1173,6 +1311,9 @@ 11217-11222 rgb_led_ws281x: bit: "0" 11222-11227 rgb_led_ws281x: bit: "0" 11227-11232 rgb_led_ws281x: bit: "0" +11112-11152 rgb_led_ws281x: g: "00" +11152-11192 rgb_led_ws281x: r: "00" +11192-11232 rgb_led_ws281x: b: "00" 11112-11232 rgb_led_ws281x: rgb: "#000000" 11232-11237 rgb_led_ws281x: bit: "0" 11237-11242 rgb_led_ws281x: bit: "0" @@ -1197,6 +1338,9 @@ 11332-11337 rgb_led_ws281x: bit: "0" 11337-11342 rgb_led_ws281x: bit: "0" 11342-11347 rgb_led_ws281x: bit: "0" +11232-11272 rgb_led_ws281x: g: "00" +11272-11312 rgb_led_ws281x: r: "00" +11312-11348 rgb_led_ws281x: b: "00" 11232-11348 rgb_led_ws281x: rgb: "#000000" 11347-11348 rgb_led_ws281x: bit: "0" 11348-11549 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -1224,6 +1368,9 @@ 15360-15365 rgb_led_ws281x: bit: "0" 15365-15370 rgb_led_ws281x: bit: "0" 15370-15375 rgb_led_ws281x: bit: "0" +15255-15295 rgb_led_ws281x: g: "00" +15295-15335 rgb_led_ws281x: r: "00" +15335-15375 rgb_led_ws281x: b: "00" 15255-15375 rgb_led_ws281x: rgb: "#000000" 15375-15380 rgb_led_ws281x: bit: "0" 15380-15385 rgb_led_ws281x: bit: "0" @@ -1249,6 +1396,9 @@ 15480-15485 rgb_led_ws281x: bit: "0" 15485-15490 rgb_led_ws281x: bit: "0" 15490-15495 rgb_led_ws281x: bit: "0" +15375-15415 rgb_led_ws281x: g: "00" +15415-15455 rgb_led_ws281x: r: "00" +15455-15495 rgb_led_ws281x: b: "00" 15375-15495 rgb_led_ws281x: rgb: "#000000" 15495-15500 rgb_led_ws281x: bit: "0" 15500-15505 rgb_led_ws281x: bit: "0" @@ -1274,6 +1424,9 @@ 15600-15605 rgb_led_ws281x: bit: "0" 15605-15610 rgb_led_ws281x: bit: "0" 15610-15615 rgb_led_ws281x: bit: "0" +15495-15535 rgb_led_ws281x: g: "00" +15535-15575 rgb_led_ws281x: r: "00" +15575-15615 rgb_led_ws281x: b: "00" 15495-15615 rgb_led_ws281x: rgb: "#000000" 15615-15620 rgb_led_ws281x: bit: "0" 15620-15625 rgb_led_ws281x: bit: "0" @@ -1299,6 +1452,9 @@ 15720-15725 rgb_led_ws281x: bit: "0" 15725-15730 rgb_led_ws281x: bit: "0" 15730-15735 rgb_led_ws281x: bit: "0" +15615-15655 rgb_led_ws281x: g: "00" +15655-15695 rgb_led_ws281x: r: "00" +15695-15735 rgb_led_ws281x: b: "00" 15615-15735 rgb_led_ws281x: rgb: "#000000" 15735-15740 rgb_led_ws281x: bit: "0" 15740-15745 rgb_led_ws281x: bit: "0" @@ -1324,6 +1480,9 @@ 15840-15845 rgb_led_ws281x: bit: "0" 15845-15850 rgb_led_ws281x: bit: "0" 15850-15855 rgb_led_ws281x: bit: "0" +15735-15775 rgb_led_ws281x: g: "00" +15775-15815 rgb_led_ws281x: r: "00" +15815-15855 rgb_led_ws281x: b: "00" 15735-15855 rgb_led_ws281x: rgb: "#000000" 15855-15860 rgb_led_ws281x: bit: "0" 15860-15865 rgb_led_ws281x: bit: "0" @@ -1349,6 +1508,9 @@ 15960-15965 rgb_led_ws281x: bit: "0" 15965-15970 rgb_led_ws281x: bit: "0" 15970-15975 rgb_led_ws281x: bit: "0" +15855-15895 rgb_led_ws281x: g: "00" +15895-15935 rgb_led_ws281x: r: "00" +15935-15975 rgb_led_ws281x: b: "00" 15855-15975 rgb_led_ws281x: rgb: "#000000" 15975-15980 rgb_led_ws281x: bit: "0" 15980-15985 rgb_led_ws281x: bit: "0" @@ -1374,6 +1536,9 @@ 16080-16085 rgb_led_ws281x: bit: "0" 16085-16090 rgb_led_ws281x: bit: "0" 16090-16095 rgb_led_ws281x: bit: "0" +15975-16015 rgb_led_ws281x: g: "00" +16015-16055 rgb_led_ws281x: r: "00" +16055-16095 rgb_led_ws281x: b: "00" 15975-16095 rgb_led_ws281x: rgb: "#000000" 16095-16100 rgb_led_ws281x: bit: "0" 16100-16105 rgb_led_ws281x: bit: "0" @@ -1399,6 +1564,9 @@ 16200-16205 rgb_led_ws281x: bit: "0" 16205-16210 rgb_led_ws281x: bit: "1" 16210-16215 rgb_led_ws281x: bit: "0" +16095-16135 rgb_led_ws281x: g: "03" +16135-16175 rgb_led_ws281x: r: "00" +16175-16215 rgb_led_ws281x: b: "02" 16095-16215 rgb_led_ws281x: rgb: "#000302" 16215-16220 rgb_led_ws281x: bit: "0" 16220-16225 rgb_led_ws281x: bit: "0" @@ -1424,6 +1592,9 @@ 16320-16325 rgb_led_ws281x: bit: "1" 16325-16330 rgb_led_ws281x: bit: "1" 16330-16335 rgb_led_ws281x: bit: "0" +16215-16255 rgb_led_ws281x: g: "07" +16255-16295 rgb_led_ws281x: r: "00" +16295-16335 rgb_led_ws281x: b: "06" 16215-16335 rgb_led_ws281x: rgb: "#000706" 16335-16340 rgb_led_ws281x: bit: "0" 16340-16345 rgb_led_ws281x: bit: "0" @@ -1449,6 +1620,9 @@ 16440-16445 rgb_led_ws281x: bit: "1" 16445-16450 rgb_led_ws281x: bit: "0" 16450-16455 rgb_led_ws281x: bit: "0" +16335-16375 rgb_led_ws281x: g: "0d" +16375-16415 rgb_led_ws281x: r: "00" +16415-16455 rgb_led_ws281x: b: "0c" 16335-16455 rgb_led_ws281x: rgb: "#000d0c" 16455-16460 rgb_led_ws281x: bit: "0" 16460-16465 rgb_led_ws281x: bit: "0" @@ -1474,6 +1648,9 @@ 16560-16565 rgb_led_ws281x: bit: "1" 16565-16570 rgb_led_ws281x: bit: "0" 16570-16575 rgb_led_ws281x: bit: "0" +16455-16495 rgb_led_ws281x: g: "16" +16495-16535 rgb_led_ws281x: r: "00" +16535-16575 rgb_led_ws281x: b: "14" 16455-16575 rgb_led_ws281x: rgb: "#001614" 16575-16580 rgb_led_ws281x: bit: "0" 16580-16585 rgb_led_ws281x: bit: "0" @@ -1499,6 +1676,9 @@ 16680-16685 rgb_led_ws281x: bit: "1" 16685-16690 rgb_led_ws281x: bit: "1" 16690-16695 rgb_led_ws281x: bit: "1" +16575-16615 rgb_led_ws281x: g: "21" +16615-16655 rgb_led_ws281x: r: "00" +16655-16695 rgb_led_ws281x: b: "1f" 16575-16695 rgb_led_ws281x: rgb: "#00211f" 16695-16700 rgb_led_ws281x: bit: "0" 16700-16705 rgb_led_ws281x: bit: "0" @@ -1524,6 +1704,9 @@ 16800-16805 rgb_led_ws281x: bit: "1" 16805-16810 rgb_led_ws281x: bit: "0" 16810-16815 rgb_led_ws281x: bit: "0" +16695-16735 rgb_led_ws281x: g: "30" +16735-16775 rgb_led_ws281x: r: "00" +16775-16815 rgb_led_ws281x: b: "2c" 16695-16815 rgb_led_ws281x: rgb: "#00302c" 16815-16820 rgb_led_ws281x: bit: "0" 16820-16825 rgb_led_ws281x: bit: "0" @@ -1549,6 +1732,9 @@ 16920-16925 rgb_led_ws281x: bit: "0" 16925-16930 rgb_led_ws281x: bit: "0" 16930-16935 rgb_led_ws281x: bit: "0" +16815-16855 rgb_led_ws281x: g: "00" +16855-16895 rgb_led_ws281x: r: "00" +16895-16935 rgb_led_ws281x: b: "00" 16815-16935 rgb_led_ws281x: rgb: "#000000" 16935-16940 rgb_led_ws281x: bit: "0" 16940-16945 rgb_led_ws281x: bit: "0" @@ -1574,6 +1760,9 @@ 17040-17045 rgb_led_ws281x: bit: "0" 17045-17050 rgb_led_ws281x: bit: "0" 17050-17055 rgb_led_ws281x: bit: "0" +16935-16975 rgb_led_ws281x: g: "00" +16975-17015 rgb_led_ws281x: r: "00" +17015-17055 rgb_led_ws281x: b: "00" 16935-17055 rgb_led_ws281x: rgb: "#000000" 17055-17060 rgb_led_ws281x: bit: "0" 17060-17065 rgb_led_ws281x: bit: "0" @@ -1599,6 +1788,9 @@ 17160-17165 rgb_led_ws281x: bit: "0" 17165-17170 rgb_led_ws281x: bit: "0" 17170-17175 rgb_led_ws281x: bit: "0" +17055-17095 rgb_led_ws281x: g: "00" +17095-17135 rgb_led_ws281x: r: "00" +17135-17175 rgb_led_ws281x: b: "00" 17055-17175 rgb_led_ws281x: rgb: "#000000" 17175-17180 rgb_led_ws281x: bit: "0" 17180-17185 rgb_led_ws281x: bit: "0" @@ -1624,6 +1816,9 @@ 17280-17285 rgb_led_ws281x: bit: "0" 17285-17290 rgb_led_ws281x: bit: "0" 17290-17295 rgb_led_ws281x: bit: "0" +17175-17215 rgb_led_ws281x: g: "00" +17215-17255 rgb_led_ws281x: r: "00" +17255-17295 rgb_led_ws281x: b: "00" 17175-17295 rgb_led_ws281x: rgb: "#000000" 17295-17300 rgb_led_ws281x: bit: "0" 17300-17305 rgb_led_ws281x: bit: "0" @@ -1649,6 +1844,9 @@ 17400-17405 rgb_led_ws281x: bit: "0" 17405-17410 rgb_led_ws281x: bit: "0" 17410-17415 rgb_led_ws281x: bit: "0" +17295-17335 rgb_led_ws281x: g: "00" +17335-17375 rgb_led_ws281x: r: "00" +17375-17415 rgb_led_ws281x: b: "00" 17295-17415 rgb_led_ws281x: rgb: "#000000" 17415-17420 rgb_led_ws281x: bit: "0" 17420-17425 rgb_led_ws281x: bit: "0" @@ -1674,6 +1872,9 @@ 17519-17524 rgb_led_ws281x: bit: "0" 17524-17529 rgb_led_ws281x: bit: "0" 17529-17534 rgb_led_ws281x: bit: "0" +17415-17455 rgb_led_ws281x: g: "00" +17455-17494 rgb_led_ws281x: r: "00" +17494-17534 rgb_led_ws281x: b: "00" 17415-17534 rgb_led_ws281x: rgb: "#000000" 17534-17539 rgb_led_ws281x: bit: "0" 17539-17544 rgb_led_ws281x: bit: "0" @@ -1699,6 +1900,9 @@ 17639-17644 rgb_led_ws281x: bit: "0" 17644-17649 rgb_led_ws281x: bit: "0" 17649-17654 rgb_led_ws281x: bit: "0" +17534-17574 rgb_led_ws281x: g: "00" +17574-17614 rgb_led_ws281x: r: "00" +17614-17654 rgb_led_ws281x: b: "00" 17534-17654 rgb_led_ws281x: rgb: "#000000" 17654-17659 rgb_led_ws281x: bit: "0" 17659-17664 rgb_led_ws281x: bit: "0" @@ -1724,6 +1928,9 @@ 17759-17764 rgb_led_ws281x: bit: "0" 17764-17769 rgb_led_ws281x: bit: "0" 17769-17774 rgb_led_ws281x: bit: "0" +17654-17694 rgb_led_ws281x: g: "00" +17694-17734 rgb_led_ws281x: r: "00" +17734-17774 rgb_led_ws281x: b: "00" 17654-17774 rgb_led_ws281x: rgb: "#000000" 17774-17779 rgb_led_ws281x: bit: "0" 17779-17784 rgb_led_ws281x: bit: "0" @@ -1749,6 +1956,9 @@ 17879-17884 rgb_led_ws281x: bit: "0" 17884-17889 rgb_led_ws281x: bit: "0" 17889-17894 rgb_led_ws281x: bit: "0" +17774-17814 rgb_led_ws281x: g: "00" +17814-17854 rgb_led_ws281x: r: "00" +17854-17894 rgb_led_ws281x: b: "00" 17774-17894 rgb_led_ws281x: rgb: "#000000" 17894-17899 rgb_led_ws281x: bit: "0" 17899-17904 rgb_led_ws281x: bit: "0" @@ -1774,6 +1984,9 @@ 17999-18004 rgb_led_ws281x: bit: "0" 18004-18009 rgb_led_ws281x: bit: "0" 18009-18014 rgb_led_ws281x: bit: "0" +17894-17934 rgb_led_ws281x: g: "00" +17934-17974 rgb_led_ws281x: r: "00" +17974-18014 rgb_led_ws281x: b: "00" 17894-18014 rgb_led_ws281x: rgb: "#000000" 18014-18019 rgb_led_ws281x: bit: "0" 18019-18024 rgb_led_ws281x: bit: "0" @@ -1798,6 +2011,9 @@ 18114-18119 rgb_led_ws281x: bit: "0" 18119-18124 rgb_led_ws281x: bit: "0" 18124-18129 rgb_led_ws281x: bit: "0" +18014-18054 rgb_led_ws281x: g: "00" +18054-18094 rgb_led_ws281x: r: "00" +18094-18131 rgb_led_ws281x: b: "00" 18014-18131 rgb_led_ws281x: rgb: "#000000" 18129-18131 rgb_led_ws281x: bit: "0" 18131-18332 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -1825,6 +2041,9 @@ 22159-22164 rgb_led_ws281x: bit: "0" 22164-22169 rgb_led_ws281x: bit: "0" 22169-22174 rgb_led_ws281x: bit: "0" +22054-22094 rgb_led_ws281x: g: "00" +22094-22134 rgb_led_ws281x: r: "00" +22134-22174 rgb_led_ws281x: b: "00" 22054-22174 rgb_led_ws281x: rgb: "#000000" 22174-22179 rgb_led_ws281x: bit: "0" 22179-22184 rgb_led_ws281x: bit: "0" @@ -1850,6 +2069,9 @@ 22279-22284 rgb_led_ws281x: bit: "0" 22284-22289 rgb_led_ws281x: bit: "0" 22289-22294 rgb_led_ws281x: bit: "0" +22174-22214 rgb_led_ws281x: g: "00" +22214-22254 rgb_led_ws281x: r: "00" +22254-22294 rgb_led_ws281x: b: "00" 22174-22294 rgb_led_ws281x: rgb: "#000000" 22294-22299 rgb_led_ws281x: bit: "0" 22299-22304 rgb_led_ws281x: bit: "0" @@ -1875,6 +2097,9 @@ 22399-22404 rgb_led_ws281x: bit: "0" 22404-22409 rgb_led_ws281x: bit: "0" 22409-22414 rgb_led_ws281x: bit: "0" +22294-22334 rgb_led_ws281x: g: "00" +22334-22374 rgb_led_ws281x: r: "00" +22374-22414 rgb_led_ws281x: b: "00" 22294-22414 rgb_led_ws281x: rgb: "#000000" 22414-22419 rgb_led_ws281x: bit: "0" 22419-22424 rgb_led_ws281x: bit: "0" @@ -1900,6 +2125,9 @@ 22519-22524 rgb_led_ws281x: bit: "0" 22524-22529 rgb_led_ws281x: bit: "0" 22529-22534 rgb_led_ws281x: bit: "0" +22414-22454 rgb_led_ws281x: g: "00" +22454-22494 rgb_led_ws281x: r: "00" +22494-22534 rgb_led_ws281x: b: "00" 22414-22534 rgb_led_ws281x: rgb: "#000000" 22534-22539 rgb_led_ws281x: bit: "0" 22539-22544 rgb_led_ws281x: bit: "0" @@ -1925,6 +2153,9 @@ 22639-22644 rgb_led_ws281x: bit: "0" 22644-22649 rgb_led_ws281x: bit: "0" 22649-22654 rgb_led_ws281x: bit: "0" +22534-22574 rgb_led_ws281x: g: "00" +22574-22614 rgb_led_ws281x: r: "00" +22614-22654 rgb_led_ws281x: b: "00" 22534-22654 rgb_led_ws281x: rgb: "#000000" 22654-22659 rgb_led_ws281x: bit: "0" 22659-22664 rgb_led_ws281x: bit: "0" @@ -1950,6 +2181,9 @@ 22759-22764 rgb_led_ws281x: bit: "0" 22764-22769 rgb_led_ws281x: bit: "0" 22769-22774 rgb_led_ws281x: bit: "0" +22654-22694 rgb_led_ws281x: g: "00" +22694-22734 rgb_led_ws281x: r: "00" +22734-22774 rgb_led_ws281x: b: "00" 22654-22774 rgb_led_ws281x: rgb: "#000000" 22774-22779 rgb_led_ws281x: bit: "0" 22779-22784 rgb_led_ws281x: bit: "0" @@ -1975,6 +2209,9 @@ 22879-22884 rgb_led_ws281x: bit: "0" 22884-22889 rgb_led_ws281x: bit: "0" 22889-22894 rgb_led_ws281x: bit: "0" +22774-22814 rgb_led_ws281x: g: "00" +22814-22854 rgb_led_ws281x: r: "00" +22854-22894 rgb_led_ws281x: b: "00" 22774-22894 rgb_led_ws281x: rgb: "#000000" 22894-22899 rgb_led_ws281x: bit: "0" 22899-22904 rgb_led_ws281x: bit: "0" @@ -2000,6 +2237,9 @@ 22999-23004 rgb_led_ws281x: bit: "0" 23004-23009 rgb_led_ws281x: bit: "1" 23009-23014 rgb_led_ws281x: bit: "0" +22894-22934 rgb_led_ws281x: g: "03" +22934-22974 rgb_led_ws281x: r: "00" +22974-23014 rgb_led_ws281x: b: "02" 22894-23014 rgb_led_ws281x: rgb: "#000302" 23014-23019 rgb_led_ws281x: bit: "0" 23019-23024 rgb_led_ws281x: bit: "0" @@ -2025,6 +2265,9 @@ 23119-23124 rgb_led_ws281x: bit: "1" 23124-23129 rgb_led_ws281x: bit: "1" 23129-23134 rgb_led_ws281x: bit: "0" +23014-23054 rgb_led_ws281x: g: "07" +23054-23094 rgb_led_ws281x: r: "00" +23094-23134 rgb_led_ws281x: b: "06" 23014-23134 rgb_led_ws281x: rgb: "#000706" 23134-23139 rgb_led_ws281x: bit: "0" 23139-23144 rgb_led_ws281x: bit: "0" @@ -2050,6 +2293,9 @@ 23239-23244 rgb_led_ws281x: bit: "1" 23244-23249 rgb_led_ws281x: bit: "0" 23249-23254 rgb_led_ws281x: bit: "0" +23134-23174 rgb_led_ws281x: g: "0d" +23174-23214 rgb_led_ws281x: r: "00" +23214-23254 rgb_led_ws281x: b: "0c" 23134-23254 rgb_led_ws281x: rgb: "#000d0c" 23254-23259 rgb_led_ws281x: bit: "0" 23259-23264 rgb_led_ws281x: bit: "0" @@ -2075,6 +2321,9 @@ 23359-23364 rgb_led_ws281x: bit: "1" 23364-23369 rgb_led_ws281x: bit: "0" 23369-23374 rgb_led_ws281x: bit: "0" +23254-23294 rgb_led_ws281x: g: "16" +23294-23334 rgb_led_ws281x: r: "00" +23334-23374 rgb_led_ws281x: b: "14" 23254-23374 rgb_led_ws281x: rgb: "#001614" 23374-23379 rgb_led_ws281x: bit: "0" 23379-23384 rgb_led_ws281x: bit: "0" @@ -2100,6 +2349,9 @@ 23479-23484 rgb_led_ws281x: bit: "1" 23484-23489 rgb_led_ws281x: bit: "1" 23489-23494 rgb_led_ws281x: bit: "1" +23374-23414 rgb_led_ws281x: g: "21" +23414-23454 rgb_led_ws281x: r: "00" +23454-23494 rgb_led_ws281x: b: "1f" 23374-23494 rgb_led_ws281x: rgb: "#00211f" 23494-23499 rgb_led_ws281x: bit: "0" 23499-23504 rgb_led_ws281x: bit: "0" @@ -2125,6 +2377,9 @@ 23599-23604 rgb_led_ws281x: bit: "1" 23604-23609 rgb_led_ws281x: bit: "0" 23609-23614 rgb_led_ws281x: bit: "0" +23494-23534 rgb_led_ws281x: g: "2f" +23534-23574 rgb_led_ws281x: r: "00" +23574-23614 rgb_led_ws281x: b: "2c" 23494-23614 rgb_led_ws281x: rgb: "#002f2c" 23614-23619 rgb_led_ws281x: bit: "0" 23619-23624 rgb_led_ws281x: bit: "0" @@ -2150,6 +2405,9 @@ 23719-23724 rgb_led_ws281x: bit: "0" 23724-23729 rgb_led_ws281x: bit: "0" 23729-23734 rgb_led_ws281x: bit: "0" +23614-23654 rgb_led_ws281x: g: "00" +23654-23694 rgb_led_ws281x: r: "00" +23694-23734 rgb_led_ws281x: b: "00" 23614-23734 rgb_led_ws281x: rgb: "#000000" 23734-23739 rgb_led_ws281x: bit: "0" 23739-23744 rgb_led_ws281x: bit: "0" @@ -2175,6 +2433,9 @@ 23839-23844 rgb_led_ws281x: bit: "0" 23844-23849 rgb_led_ws281x: bit: "0" 23849-23854 rgb_led_ws281x: bit: "0" +23734-23774 rgb_led_ws281x: g: "00" +23774-23814 rgb_led_ws281x: r: "00" +23814-23854 rgb_led_ws281x: b: "00" 23734-23854 rgb_led_ws281x: rgb: "#000000" 23854-23859 rgb_led_ws281x: bit: "0" 23859-23864 rgb_led_ws281x: bit: "0" @@ -2200,6 +2461,9 @@ 23959-23964 rgb_led_ws281x: bit: "0" 23964-23969 rgb_led_ws281x: bit: "0" 23969-23974 rgb_led_ws281x: bit: "0" +23854-23894 rgb_led_ws281x: g: "00" +23894-23934 rgb_led_ws281x: r: "00" +23934-23974 rgb_led_ws281x: b: "00" 23854-23974 rgb_led_ws281x: rgb: "#000000" 23974-23979 rgb_led_ws281x: bit: "0" 23979-23984 rgb_led_ws281x: bit: "0" @@ -2225,6 +2489,9 @@ 24079-24084 rgb_led_ws281x: bit: "0" 24084-24089 rgb_led_ws281x: bit: "0" 24089-24094 rgb_led_ws281x: bit: "0" +23974-24014 rgb_led_ws281x: g: "00" +24014-24054 rgb_led_ws281x: r: "00" +24054-24094 rgb_led_ws281x: b: "00" 23974-24094 rgb_led_ws281x: rgb: "#000000" 24094-24099 rgb_led_ws281x: bit: "0" 24099-24104 rgb_led_ws281x: bit: "0" @@ -2250,6 +2517,9 @@ 24199-24204 rgb_led_ws281x: bit: "0" 24204-24209 rgb_led_ws281x: bit: "0" 24209-24214 rgb_led_ws281x: bit: "0" +24094-24134 rgb_led_ws281x: g: "00" +24134-24174 rgb_led_ws281x: r: "00" +24174-24214 rgb_led_ws281x: b: "00" 24094-24214 rgb_led_ws281x: rgb: "#000000" 24214-24219 rgb_led_ws281x: bit: "0" 24219-24224 rgb_led_ws281x: bit: "0" @@ -2275,6 +2545,9 @@ 24319-24324 rgb_led_ws281x: bit: "0" 24324-24329 rgb_led_ws281x: bit: "0" 24329-24334 rgb_led_ws281x: bit: "0" +24214-24254 rgb_led_ws281x: g: "00" +24254-24294 rgb_led_ws281x: r: "00" +24294-24334 rgb_led_ws281x: b: "00" 24214-24334 rgb_led_ws281x: rgb: "#000000" 24334-24339 rgb_led_ws281x: bit: "0" 24339-24344 rgb_led_ws281x: bit: "0" @@ -2300,6 +2573,9 @@ 24439-24444 rgb_led_ws281x: bit: "0" 24444-24449 rgb_led_ws281x: bit: "0" 24449-24454 rgb_led_ws281x: bit: "0" +24334-24374 rgb_led_ws281x: g: "00" +24374-24414 rgb_led_ws281x: r: "00" +24414-24454 rgb_led_ws281x: b: "00" 24334-24454 rgb_led_ws281x: rgb: "#000000" 24454-24459 rgb_led_ws281x: bit: "0" 24459-24464 rgb_led_ws281x: bit: "0" @@ -2325,6 +2601,9 @@ 24559-24564 rgb_led_ws281x: bit: "0" 24564-24569 rgb_led_ws281x: bit: "0" 24569-24574 rgb_led_ws281x: bit: "0" +24454-24494 rgb_led_ws281x: g: "00" +24494-24534 rgb_led_ws281x: r: "00" +24534-24574 rgb_led_ws281x: b: "00" 24454-24574 rgb_led_ws281x: rgb: "#000000" 24574-24579 rgb_led_ws281x: bit: "0" 24579-24584 rgb_led_ws281x: bit: "0" @@ -2350,6 +2629,9 @@ 24679-24684 rgb_led_ws281x: bit: "0" 24684-24689 rgb_led_ws281x: bit: "0" 24689-24694 rgb_led_ws281x: bit: "0" +24574-24614 rgb_led_ws281x: g: "00" +24614-24654 rgb_led_ws281x: r: "00" +24654-24694 rgb_led_ws281x: b: "00" 24574-24694 rgb_led_ws281x: rgb: "#000000" 24694-24699 rgb_led_ws281x: bit: "0" 24699-24704 rgb_led_ws281x: bit: "0" @@ -2375,6 +2657,9 @@ 24799-24804 rgb_led_ws281x: bit: "0" 24804-24809 rgb_led_ws281x: bit: "0" 24809-24814 rgb_led_ws281x: bit: "0" +24694-24734 rgb_led_ws281x: g: "00" +24734-24774 rgb_led_ws281x: r: "00" +24774-24814 rgb_led_ws281x: b: "00" 24694-24814 rgb_led_ws281x: rgb: "#000000" 24814-24819 rgb_led_ws281x: bit: "0" 24819-24824 rgb_led_ws281x: bit: "0" @@ -2399,6 +2684,9 @@ 24914-24919 rgb_led_ws281x: bit: "0" 24919-24924 rgb_led_ws281x: bit: "0" 24924-24929 rgb_led_ws281x: bit: "0" +24814-24854 rgb_led_ws281x: g: "00" +24854-24894 rgb_led_ws281x: r: "00" +24894-24930 rgb_led_ws281x: b: "00" 24814-24930 rgb_led_ws281x: rgb: "#000000" 24929-24930 rgb_led_ws281x: bit: "0" 24930-25131 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -2426,6 +2714,9 @@ 28953-28958 rgb_led_ws281x: bit: "0" 28958-28963 rgb_led_ws281x: bit: "0" 28963-28968 rgb_led_ws281x: bit: "0" +28848-28888 rgb_led_ws281x: g: "00" +28888-28928 rgb_led_ws281x: r: "00" +28928-28968 rgb_led_ws281x: b: "00" 28848-28968 rgb_led_ws281x: rgb: "#000000" 28968-28973 rgb_led_ws281x: bit: "0" 28973-28978 rgb_led_ws281x: bit: "0" @@ -2451,6 +2742,9 @@ 29073-29078 rgb_led_ws281x: bit: "0" 29078-29083 rgb_led_ws281x: bit: "0" 29083-29088 rgb_led_ws281x: bit: "0" +28968-29008 rgb_led_ws281x: g: "00" +29008-29048 rgb_led_ws281x: r: "00" +29048-29088 rgb_led_ws281x: b: "00" 28968-29088 rgb_led_ws281x: rgb: "#000000" 29088-29093 rgb_led_ws281x: bit: "0" 29093-29098 rgb_led_ws281x: bit: "0" @@ -2476,6 +2770,9 @@ 29193-29198 rgb_led_ws281x: bit: "0" 29198-29203 rgb_led_ws281x: bit: "0" 29203-29208 rgb_led_ws281x: bit: "0" +29088-29128 rgb_led_ws281x: g: "00" +29128-29168 rgb_led_ws281x: r: "00" +29168-29208 rgb_led_ws281x: b: "00" 29088-29208 rgb_led_ws281x: rgb: "#000000" 29208-29213 rgb_led_ws281x: bit: "0" 29213-29218 rgb_led_ws281x: bit: "0" @@ -2501,6 +2798,9 @@ 29313-29318 rgb_led_ws281x: bit: "0" 29318-29323 rgb_led_ws281x: bit: "0" 29323-29328 rgb_led_ws281x: bit: "0" +29208-29248 rgb_led_ws281x: g: "00" +29248-29288 rgb_led_ws281x: r: "00" +29288-29328 rgb_led_ws281x: b: "00" 29208-29328 rgb_led_ws281x: rgb: "#000000" 29328-29333 rgb_led_ws281x: bit: "0" 29333-29338 rgb_led_ws281x: bit: "0" @@ -2526,6 +2826,9 @@ 29432-29437 rgb_led_ws281x: bit: "0" 29437-29442 rgb_led_ws281x: bit: "0" 29442-29447 rgb_led_ws281x: bit: "0" +29328-29368 rgb_led_ws281x: g: "00" +29368-29407 rgb_led_ws281x: r: "00" +29407-29447 rgb_led_ws281x: b: "00" 29328-29447 rgb_led_ws281x: rgb: "#000000" 29447-29452 rgb_led_ws281x: bit: "0" 29452-29457 rgb_led_ws281x: bit: "0" @@ -2551,6 +2854,9 @@ 29552-29557 rgb_led_ws281x: bit: "0" 29557-29562 rgb_led_ws281x: bit: "0" 29562-29567 rgb_led_ws281x: bit: "0" +29447-29487 rgb_led_ws281x: g: "00" +29487-29527 rgb_led_ws281x: r: "00" +29527-29567 rgb_led_ws281x: b: "00" 29447-29567 rgb_led_ws281x: rgb: "#000000" 29567-29572 rgb_led_ws281x: bit: "0" 29572-29577 rgb_led_ws281x: bit: "0" @@ -2576,6 +2882,9 @@ 29672-29677 rgb_led_ws281x: bit: "0" 29677-29682 rgb_led_ws281x: bit: "0" 29682-29687 rgb_led_ws281x: bit: "0" +29567-29607 rgb_led_ws281x: g: "00" +29607-29647 rgb_led_ws281x: r: "00" +29647-29687 rgb_led_ws281x: b: "00" 29567-29687 rgb_led_ws281x: rgb: "#000000" 29687-29692 rgb_led_ws281x: bit: "0" 29692-29697 rgb_led_ws281x: bit: "0" @@ -2601,6 +2910,9 @@ 29792-29797 rgb_led_ws281x: bit: "0" 29797-29802 rgb_led_ws281x: bit: "1" 29802-29807 rgb_led_ws281x: bit: "0" +29687-29727 rgb_led_ws281x: g: "02" +29727-29767 rgb_led_ws281x: r: "00" +29767-29807 rgb_led_ws281x: b: "02" 29687-29807 rgb_led_ws281x: rgb: "#000202" 29807-29812 rgb_led_ws281x: bit: "0" 29812-29817 rgb_led_ws281x: bit: "0" @@ -2626,6 +2938,9 @@ 29912-29917 rgb_led_ws281x: bit: "1" 29917-29922 rgb_led_ws281x: bit: "1" 29922-29927 rgb_led_ws281x: bit: "0" +29807-29847 rgb_led_ws281x: g: "06" +29847-29887 rgb_led_ws281x: r: "00" +29887-29927 rgb_led_ws281x: b: "06" 29807-29927 rgb_led_ws281x: rgb: "#000606" 29927-29932 rgb_led_ws281x: bit: "0" 29932-29937 rgb_led_ws281x: bit: "0" @@ -2651,6 +2966,9 @@ 30032-30037 rgb_led_ws281x: bit: "1" 30037-30042 rgb_led_ws281x: bit: "0" 30042-30047 rgb_led_ws281x: bit: "0" +29927-29967 rgb_led_ws281x: g: "0d" +29967-30007 rgb_led_ws281x: r: "00" +30007-30047 rgb_led_ws281x: b: "0c" 29927-30047 rgb_led_ws281x: rgb: "#000d0c" 30047-30052 rgb_led_ws281x: bit: "0" 30052-30057 rgb_led_ws281x: bit: "0" @@ -2676,6 +2994,9 @@ 30152-30157 rgb_led_ws281x: bit: "1" 30157-30162 rgb_led_ws281x: bit: "0" 30162-30167 rgb_led_ws281x: bit: "0" +30047-30087 rgb_led_ws281x: g: "15" +30087-30127 rgb_led_ws281x: r: "00" +30127-30167 rgb_led_ws281x: b: "14" 30047-30167 rgb_led_ws281x: rgb: "#001514" 30167-30172 rgb_led_ws281x: bit: "0" 30172-30177 rgb_led_ws281x: bit: "0" @@ -2701,6 +3022,9 @@ 30272-30277 rgb_led_ws281x: bit: "1" 30277-30282 rgb_led_ws281x: bit: "1" 30282-30287 rgb_led_ws281x: bit: "0" +30167-30207 rgb_led_ws281x: g: "21" +30207-30247 rgb_led_ws281x: r: "00" +30247-30287 rgb_led_ws281x: b: "1e" 30167-30287 rgb_led_ws281x: rgb: "#00211e" 30287-30292 rgb_led_ws281x: bit: "0" 30292-30297 rgb_led_ws281x: bit: "0" @@ -2726,6 +3050,9 @@ 30392-30397 rgb_led_ws281x: bit: "0" 30397-30402 rgb_led_ws281x: bit: "1" 30402-30407 rgb_led_ws281x: bit: "1" +30287-30327 rgb_led_ws281x: g: "2e" +30327-30367 rgb_led_ws281x: r: "00" +30367-30407 rgb_led_ws281x: b: "2b" 30287-30407 rgb_led_ws281x: rgb: "#002e2b" 30407-30412 rgb_led_ws281x: bit: "0" 30412-30417 rgb_led_ws281x: bit: "0" @@ -2751,6 +3078,9 @@ 30512-30517 rgb_led_ws281x: bit: "0" 30517-30522 rgb_led_ws281x: bit: "0" 30522-30527 rgb_led_ws281x: bit: "0" +30407-30447 rgb_led_ws281x: g: "00" +30447-30487 rgb_led_ws281x: r: "00" +30487-30527 rgb_led_ws281x: b: "00" 30407-30527 rgb_led_ws281x: rgb: "#000000" 30527-30532 rgb_led_ws281x: bit: "0" 30532-30537 rgb_led_ws281x: bit: "0" @@ -2776,6 +3106,9 @@ 30632-30637 rgb_led_ws281x: bit: "0" 30637-30642 rgb_led_ws281x: bit: "0" 30642-30647 rgb_led_ws281x: bit: "0" +30527-30567 rgb_led_ws281x: g: "00" +30567-30607 rgb_led_ws281x: r: "00" +30607-30647 rgb_led_ws281x: b: "00" 30527-30647 rgb_led_ws281x: rgb: "#000000" 30647-30652 rgb_led_ws281x: bit: "0" 30652-30657 rgb_led_ws281x: bit: "0" @@ -2801,6 +3134,9 @@ 30752-30757 rgb_led_ws281x: bit: "0" 30757-30762 rgb_led_ws281x: bit: "0" 30762-30767 rgb_led_ws281x: bit: "0" +30647-30687 rgb_led_ws281x: g: "00" +30687-30727 rgb_led_ws281x: r: "00" +30727-30767 rgb_led_ws281x: b: "00" 30647-30767 rgb_led_ws281x: rgb: "#000000" 30767-30772 rgb_led_ws281x: bit: "0" 30772-30777 rgb_led_ws281x: bit: "0" @@ -2826,6 +3162,9 @@ 30872-30877 rgb_led_ws281x: bit: "0" 30877-30882 rgb_led_ws281x: bit: "0" 30882-30887 rgb_led_ws281x: bit: "0" +30767-30807 rgb_led_ws281x: g: "00" +30807-30847 rgb_led_ws281x: r: "00" +30847-30887 rgb_led_ws281x: b: "00" 30767-30887 rgb_led_ws281x: rgb: "#000000" 30887-30892 rgb_led_ws281x: bit: "0" 30892-30897 rgb_led_ws281x: bit: "0" @@ -2851,6 +3190,9 @@ 30992-30997 rgb_led_ws281x: bit: "0" 30997-31002 rgb_led_ws281x: bit: "0" 31002-31007 rgb_led_ws281x: bit: "0" +30887-30927 rgb_led_ws281x: g: "00" +30927-30967 rgb_led_ws281x: r: "00" +30967-31007 rgb_led_ws281x: b: "00" 30887-31007 rgb_led_ws281x: rgb: "#000000" 31007-31012 rgb_led_ws281x: bit: "0" 31012-31017 rgb_led_ws281x: bit: "0" @@ -2876,6 +3218,9 @@ 31112-31117 rgb_led_ws281x: bit: "0" 31117-31122 rgb_led_ws281x: bit: "0" 31122-31127 rgb_led_ws281x: bit: "0" +31007-31047 rgb_led_ws281x: g: "00" +31047-31087 rgb_led_ws281x: r: "00" +31087-31127 rgb_led_ws281x: b: "00" 31007-31127 rgb_led_ws281x: rgb: "#000000" 31127-31132 rgb_led_ws281x: bit: "0" 31132-31137 rgb_led_ws281x: bit: "0" @@ -2901,6 +3246,9 @@ 31232-31237 rgb_led_ws281x: bit: "0" 31237-31242 rgb_led_ws281x: bit: "0" 31242-31247 rgb_led_ws281x: bit: "0" +31127-31167 rgb_led_ws281x: g: "00" +31167-31207 rgb_led_ws281x: r: "00" +31207-31247 rgb_led_ws281x: b: "00" 31127-31247 rgb_led_ws281x: rgb: "#000000" 31247-31252 rgb_led_ws281x: bit: "0" 31252-31257 rgb_led_ws281x: bit: "0" @@ -2926,6 +3274,9 @@ 31352-31357 rgb_led_ws281x: bit: "0" 31357-31362 rgb_led_ws281x: bit: "0" 31362-31367 rgb_led_ws281x: bit: "0" +31247-31287 rgb_led_ws281x: g: "00" +31287-31327 rgb_led_ws281x: r: "00" +31327-31367 rgb_led_ws281x: b: "00" 31247-31367 rgb_led_ws281x: rgb: "#000000" 31367-31372 rgb_led_ws281x: bit: "0" 31372-31377 rgb_led_ws281x: bit: "0" @@ -2951,6 +3302,9 @@ 31472-31477 rgb_led_ws281x: bit: "0" 31477-31482 rgb_led_ws281x: bit: "0" 31482-31487 rgb_led_ws281x: bit: "0" +31367-31407 rgb_led_ws281x: g: "00" +31407-31447 rgb_led_ws281x: r: "00" +31447-31487 rgb_led_ws281x: b: "00" 31367-31487 rgb_led_ws281x: rgb: "#000000" 31487-31492 rgb_led_ws281x: bit: "0" 31492-31497 rgb_led_ws281x: bit: "0" @@ -2976,6 +3330,9 @@ 31592-31597 rgb_led_ws281x: bit: "0" 31597-31602 rgb_led_ws281x: bit: "0" 31602-31607 rgb_led_ws281x: bit: "0" +31487-31527 rgb_led_ws281x: g: "00" +31527-31567 rgb_led_ws281x: r: "00" +31567-31607 rgb_led_ws281x: b: "00" 31487-31607 rgb_led_ws281x: rgb: "#000000" 31607-31612 rgb_led_ws281x: bit: "0" 31612-31617 rgb_led_ws281x: bit: "0" @@ -3000,6 +3357,9 @@ 31707-31712 rgb_led_ws281x: bit: "0" 31712-31717 rgb_led_ws281x: bit: "0" 31717-31722 rgb_led_ws281x: bit: "0" +31607-31647 rgb_led_ws281x: g: "00" +31647-31687 rgb_led_ws281x: r: "00" +31687-31723 rgb_led_ws281x: b: "00" 31607-31723 rgb_led_ws281x: rgb: "#000000" 31722-31723 rgb_led_ws281x: bit: "0" 31723-31924 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -3027,6 +3387,9 @@ 35724-35729 rgb_led_ws281x: bit: "0" 35729-35734 rgb_led_ws281x: bit: "0" 35734-35739 rgb_led_ws281x: bit: "0" +35619-35659 rgb_led_ws281x: g: "00" +35659-35699 rgb_led_ws281x: r: "00" +35699-35739 rgb_led_ws281x: b: "00" 35619-35739 rgb_led_ws281x: rgb: "#000000" 35739-35744 rgb_led_ws281x: bit: "0" 35744-35749 rgb_led_ws281x: bit: "0" @@ -3052,6 +3415,9 @@ 35844-35849 rgb_led_ws281x: bit: "0" 35849-35854 rgb_led_ws281x: bit: "0" 35854-35859 rgb_led_ws281x: bit: "0" +35739-35779 rgb_led_ws281x: g: "00" +35779-35819 rgb_led_ws281x: r: "00" +35819-35859 rgb_led_ws281x: b: "00" 35739-35859 rgb_led_ws281x: rgb: "#000000" 35859-35864 rgb_led_ws281x: bit: "0" 35864-35869 rgb_led_ws281x: bit: "0" @@ -3077,6 +3443,9 @@ 35964-35969 rgb_led_ws281x: bit: "0" 35969-35974 rgb_led_ws281x: bit: "0" 35974-35979 rgb_led_ws281x: bit: "0" +35859-35899 rgb_led_ws281x: g: "00" +35899-35939 rgb_led_ws281x: r: "00" +35939-35979 rgb_led_ws281x: b: "00" 35859-35979 rgb_led_ws281x: rgb: "#000000" 35979-35984 rgb_led_ws281x: bit: "0" 35984-35989 rgb_led_ws281x: bit: "0" @@ -3102,6 +3471,9 @@ 36084-36089 rgb_led_ws281x: bit: "0" 36089-36094 rgb_led_ws281x: bit: "0" 36094-36099 rgb_led_ws281x: bit: "0" +35979-36019 rgb_led_ws281x: g: "00" +36019-36059 rgb_led_ws281x: r: "00" +36059-36099 rgb_led_ws281x: b: "00" 35979-36099 rgb_led_ws281x: rgb: "#000000" 36099-36104 rgb_led_ws281x: bit: "0" 36104-36109 rgb_led_ws281x: bit: "0" @@ -3127,6 +3499,9 @@ 36204-36209 rgb_led_ws281x: bit: "0" 36209-36214 rgb_led_ws281x: bit: "0" 36214-36219 rgb_led_ws281x: bit: "0" +36099-36139 rgb_led_ws281x: g: "00" +36139-36179 rgb_led_ws281x: r: "00" +36179-36219 rgb_led_ws281x: b: "00" 36099-36219 rgb_led_ws281x: rgb: "#000000" 36219-36224 rgb_led_ws281x: bit: "0" 36224-36229 rgb_led_ws281x: bit: "0" @@ -3152,6 +3527,9 @@ 36324-36329 rgb_led_ws281x: bit: "0" 36329-36334 rgb_led_ws281x: bit: "0" 36334-36339 rgb_led_ws281x: bit: "0" +36219-36259 rgb_led_ws281x: g: "00" +36259-36299 rgb_led_ws281x: r: "00" +36299-36339 rgb_led_ws281x: b: "00" 36219-36339 rgb_led_ws281x: rgb: "#000000" 36339-36344 rgb_led_ws281x: bit: "0" 36344-36349 rgb_led_ws281x: bit: "0" @@ -3177,6 +3555,9 @@ 36444-36449 rgb_led_ws281x: bit: "0" 36449-36454 rgb_led_ws281x: bit: "0" 36454-36459 rgb_led_ws281x: bit: "0" +36339-36379 rgb_led_ws281x: g: "00" +36379-36419 rgb_led_ws281x: r: "00" +36419-36459 rgb_led_ws281x: b: "00" 36339-36459 rgb_led_ws281x: rgb: "#000000" 36459-36464 rgb_led_ws281x: bit: "0" 36464-36469 rgb_led_ws281x: bit: "0" @@ -3202,6 +3583,9 @@ 36564-36569 rgb_led_ws281x: bit: "0" 36569-36574 rgb_led_ws281x: bit: "1" 36574-36579 rgb_led_ws281x: bit: "0" +36459-36499 rgb_led_ws281x: g: "02" +36499-36539 rgb_led_ws281x: r: "00" +36539-36579 rgb_led_ws281x: b: "02" 36459-36579 rgb_led_ws281x: rgb: "#000202" 36579-36584 rgb_led_ws281x: bit: "0" 36584-36589 rgb_led_ws281x: bit: "0" @@ -3227,6 +3611,9 @@ 36684-36689 rgb_led_ws281x: bit: "1" 36689-36694 rgb_led_ws281x: bit: "1" 36694-36699 rgb_led_ws281x: bit: "0" +36579-36619 rgb_led_ws281x: g: "06" +36619-36659 rgb_led_ws281x: r: "00" +36659-36699 rgb_led_ws281x: b: "06" 36579-36699 rgb_led_ws281x: rgb: "#000606" 36699-36704 rgb_led_ws281x: bit: "0" 36704-36709 rgb_led_ws281x: bit: "0" @@ -3252,6 +3639,9 @@ 36804-36809 rgb_led_ws281x: bit: "0" 36809-36814 rgb_led_ws281x: bit: "1" 36814-36819 rgb_led_ws281x: bit: "1" +36699-36739 rgb_led_ws281x: g: "0c" +36739-36779 rgb_led_ws281x: r: "00" +36779-36819 rgb_led_ws281x: b: "0b" 36699-36819 rgb_led_ws281x: rgb: "#000c0b" 36819-36824 rgb_led_ws281x: bit: "0" 36824-36829 rgb_led_ws281x: bit: "0" @@ -3277,6 +3667,9 @@ 36924-36929 rgb_led_ws281x: bit: "0" 36929-36934 rgb_led_ws281x: bit: "1" 36934-36939 rgb_led_ws281x: bit: "1" +36819-36859 rgb_led_ws281x: g: "14" +36859-36899 rgb_led_ws281x: r: "00" +36899-36939 rgb_led_ws281x: b: "13" 36819-36939 rgb_led_ws281x: rgb: "#001413" 36939-36944 rgb_led_ws281x: bit: "0" 36944-36949 rgb_led_ws281x: bit: "0" @@ -3302,6 +3695,9 @@ 37044-37049 rgb_led_ws281x: bit: "1" 37049-37054 rgb_led_ws281x: bit: "1" 37054-37059 rgb_led_ws281x: bit: "0" +36939-36979 rgb_led_ws281x: g: "20" +36979-37019 rgb_led_ws281x: r: "00" +37019-37059 rgb_led_ws281x: b: "1e" 36939-37059 rgb_led_ws281x: rgb: "#00201e" 37059-37064 rgb_led_ws281x: bit: "0" 37064-37068 rgb_led_ws281x: bit: "0" @@ -3327,6 +3723,9 @@ 37163-37168 rgb_led_ws281x: bit: "0" 37168-37173 rgb_led_ws281x: bit: "1" 37173-37178 rgb_led_ws281x: bit: "1" +37059-37098 rgb_led_ws281x: g: "2e" +37098-37138 rgb_led_ws281x: r: "00" +37138-37178 rgb_led_ws281x: b: "2b" 37059-37178 rgb_led_ws281x: rgb: "#002e2b" 37178-37183 rgb_led_ws281x: bit: "0" 37183-37188 rgb_led_ws281x: bit: "0" @@ -3352,6 +3751,9 @@ 37283-37288 rgb_led_ws281x: bit: "0" 37288-37293 rgb_led_ws281x: bit: "0" 37293-37298 rgb_led_ws281x: bit: "0" +37178-37218 rgb_led_ws281x: g: "00" +37218-37258 rgb_led_ws281x: r: "00" +37258-37298 rgb_led_ws281x: b: "00" 37178-37298 rgb_led_ws281x: rgb: "#000000" 37298-37303 rgb_led_ws281x: bit: "0" 37303-37308 rgb_led_ws281x: bit: "0" @@ -3377,6 +3779,9 @@ 37403-37408 rgb_led_ws281x: bit: "0" 37408-37413 rgb_led_ws281x: bit: "0" 37413-37418 rgb_led_ws281x: bit: "0" +37298-37338 rgb_led_ws281x: g: "00" +37338-37378 rgb_led_ws281x: r: "00" +37378-37418 rgb_led_ws281x: b: "00" 37298-37418 rgb_led_ws281x: rgb: "#000000" 37418-37423 rgb_led_ws281x: bit: "0" 37423-37428 rgb_led_ws281x: bit: "0" @@ -3402,6 +3807,9 @@ 37523-37528 rgb_led_ws281x: bit: "0" 37528-37533 rgb_led_ws281x: bit: "0" 37533-37538 rgb_led_ws281x: bit: "0" +37418-37458 rgb_led_ws281x: g: "00" +37458-37498 rgb_led_ws281x: r: "00" +37498-37538 rgb_led_ws281x: b: "00" 37418-37538 rgb_led_ws281x: rgb: "#000000" 37538-37543 rgb_led_ws281x: bit: "0" 37543-37548 rgb_led_ws281x: bit: "0" @@ -3427,6 +3835,9 @@ 37643-37648 rgb_led_ws281x: bit: "0" 37648-37653 rgb_led_ws281x: bit: "0" 37653-37658 rgb_led_ws281x: bit: "0" +37538-37578 rgb_led_ws281x: g: "00" +37578-37618 rgb_led_ws281x: r: "00" +37618-37658 rgb_led_ws281x: b: "00" 37538-37658 rgb_led_ws281x: rgb: "#000000" 37658-37663 rgb_led_ws281x: bit: "0" 37663-37668 rgb_led_ws281x: bit: "0" @@ -3452,6 +3863,9 @@ 37763-37768 rgb_led_ws281x: bit: "0" 37768-37773 rgb_led_ws281x: bit: "0" 37773-37778 rgb_led_ws281x: bit: "0" +37658-37698 rgb_led_ws281x: g: "00" +37698-37738 rgb_led_ws281x: r: "00" +37738-37778 rgb_led_ws281x: b: "00" 37658-37778 rgb_led_ws281x: rgb: "#000000" 37778-37783 rgb_led_ws281x: bit: "0" 37783-37788 rgb_led_ws281x: bit: "0" @@ -3477,6 +3891,9 @@ 37883-37888 rgb_led_ws281x: bit: "0" 37888-37893 rgb_led_ws281x: bit: "0" 37893-37898 rgb_led_ws281x: bit: "0" +37778-37818 rgb_led_ws281x: g: "00" +37818-37858 rgb_led_ws281x: r: "00" +37858-37898 rgb_led_ws281x: b: "00" 37778-37898 rgb_led_ws281x: rgb: "#000000" 37898-37903 rgb_led_ws281x: bit: "0" 37903-37908 rgb_led_ws281x: bit: "0" @@ -3502,6 +3919,9 @@ 38003-38008 rgb_led_ws281x: bit: "0" 38008-38013 rgb_led_ws281x: bit: "0" 38013-38018 rgb_led_ws281x: bit: "0" +37898-37938 rgb_led_ws281x: g: "00" +37938-37978 rgb_led_ws281x: r: "00" +37978-38018 rgb_led_ws281x: b: "00" 37898-38018 rgb_led_ws281x: rgb: "#000000" 38018-38023 rgb_led_ws281x: bit: "0" 38023-38028 rgb_led_ws281x: bit: "0" @@ -3527,6 +3947,9 @@ 38123-38128 rgb_led_ws281x: bit: "0" 38128-38133 rgb_led_ws281x: bit: "0" 38133-38138 rgb_led_ws281x: bit: "0" +38018-38058 rgb_led_ws281x: g: "00" +38058-38098 rgb_led_ws281x: r: "00" +38098-38138 rgb_led_ws281x: b: "00" 38018-38138 rgb_led_ws281x: rgb: "#000000" 38138-38143 rgb_led_ws281x: bit: "0" 38143-38148 rgb_led_ws281x: bit: "0" @@ -3552,6 +3975,9 @@ 38243-38248 rgb_led_ws281x: bit: "0" 38248-38253 rgb_led_ws281x: bit: "0" 38253-38258 rgb_led_ws281x: bit: "0" +38138-38178 rgb_led_ws281x: g: "00" +38178-38218 rgb_led_ws281x: r: "00" +38218-38258 rgb_led_ws281x: b: "00" 38138-38258 rgb_led_ws281x: rgb: "#000000" 38258-38263 rgb_led_ws281x: bit: "0" 38263-38268 rgb_led_ws281x: bit: "0" @@ -3577,6 +4003,9 @@ 38363-38368 rgb_led_ws281x: bit: "0" 38368-38373 rgb_led_ws281x: bit: "0" 38373-38378 rgb_led_ws281x: bit: "0" +38258-38298 rgb_led_ws281x: g: "00" +38298-38338 rgb_led_ws281x: r: "00" +38338-38378 rgb_led_ws281x: b: "00" 38258-38378 rgb_led_ws281x: rgb: "#000000" 38378-38383 rgb_led_ws281x: bit: "0" 38383-38388 rgb_led_ws281x: bit: "0" @@ -3601,6 +4030,9 @@ 38478-38483 rgb_led_ws281x: bit: "0" 38483-38488 rgb_led_ws281x: bit: "0" 38488-38493 rgb_led_ws281x: bit: "0" +38378-38418 rgb_led_ws281x: g: "00" +38418-38458 rgb_led_ws281x: r: "00" +38458-38494 rgb_led_ws281x: b: "00" 38378-38494 rgb_led_ws281x: rgb: "#000000" 38493-38494 rgb_led_ws281x: bit: "0" 38494-38695 rgb_led_ws281x: reset: "RESET" "RST" "R"