From: Uwe Hermann Date: Thu, 7 Sep 2017 17:56:42 +0000 (+0200) Subject: Move files out of 0.1 directory. X-Git-Url: http://sigrok.org/gitweb/?p=fx2grok.git;a=commitdiff_plain;h=10f38f7b97960be5344bf42c8abf2c3fb03f5222 Move files out of 0.1 directory. --- diff --git a/hardware/fx2grok-tiny/0.1/fp-lib-table b/hardware/fx2grok-tiny/0.1/fp-lib-table deleted file mode 100644 index 5a1c155..0000000 --- a/hardware/fx2grok-tiny/0.1/fp-lib-table +++ /dev/null @@ -1,3 +0,0 @@ -(fp_lib_table - (lib (name fx2grok-tiny)(type KiCad)(uri "$(KIPRJMOD)/fx2grok-tiny.pretty")(options "")(descr "")) -) diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.kicad_pcb b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.kicad_pcb deleted file mode 100644 index 9cc04ea..0000000 --- a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.kicad_pcb +++ /dev/null @@ -1,1382 +0,0 @@ -(kicad_pcb (version 4) (host pcbnew 4.0.6+dfsg1-1) - - (general - (links 85) - (no_connects 0) - (area 50.03038 32.773983 90.07094 61.842831) - (thickness 1.6002) - (drawings 10) - (tracks 374) - (zones 0) - (modules 28) - (nets 23) - ) - - (page User 152.4 101.6) - (title_block - (date 2017-09-07) - ) - - (layers - (0 Front signal) - (31 Back signal) - (32 B.Adhes user hide) - (33 F.Adhes user hide) - (34 B.Paste user) - (35 F.Paste user) - (36 B.SilkS user hide) - (37 F.SilkS user hide) - (38 B.Mask user) - (39 F.Mask user) - (40 Dwgs.User user hide) - (41 Cmts.User user hide) - (42 Eco1.User user hide) - (43 Eco2.User user hide) - (44 Edge.Cuts user) - ) - - (setup - (last_trace_width 0.127) - (trace_clearance 0.1524) - (zone_clearance 0.508) - (zone_45_only no) - (trace_min 0.127) - (segment_width 0.381) - (edge_width 0.381) - (via_size 0.44958) - (via_drill 0.20066) - (via_min_size 0.44958) - (via_min_drill 0.20066) - (uvia_size 0.508) - (uvia_drill 0.127) - (uvias_allowed no) - (uvia_min_size 0.508) - (uvia_min_drill 0.127) - (pcb_text_width 0.3048) - (pcb_text_size 1.524 2.032) - (mod_edge_width 0.381) - (mod_text_size 1.524 1.524) - (mod_text_width 0.3048) - (pad_size 1.89992 1.89992) - (pad_drill 0) - (pad_to_mask_clearance 0.09906) - (aux_axis_origin 0 0) - (visible_elements FFFFFF19) - (pcbplotparams - (layerselection 0x010cc_80000001) - (usegerberextensions true) - (usegerberattributes true) - (excludeedgelayer true) - (linewidth 0.150000) - (plotframeref false) - (viasonmask false) - (mode 1) - (useauxorigin false) - (hpglpennumber 1) - (hpglpenspeed 20) - (hpglpendiameter 15) - (hpglpenoverlay 0) - (psnegative false) - (psa4output false) - (plotreference false) - (plotvalue false) - (plotinvisibletext false) - (padsonsilk false) - (subtractmaskfromsilk false) - (outputformat 1) - (mirror false) - (drillshape 0) - (scaleselection 1) - (outputdirectory "")) - ) - - (net 0 "") - (net 1 +5V) - (net 2 /DM) - (net 3 /DP) - (net 4 /PA0) - (net 5 /PB0) - (net 6 /PB1) - (net 7 /PB2) - (net 8 /PB3) - (net 9 /PB4) - (net 10 /PB5) - (net 11 /PB6) - (net 12 /PB7) - (net 13 /RESET#) - (net 14 /SCL) - (net 15 /SDA) - (net 16 /WAKEUP) - (net 17 /XTALIN) - (net 18 /XTALOUT) - (net 19 GND) - (net 20 +3V3) - (net 21 "Net-(C15-Pad1)") - (net 22 "Net-(D1-Pad1)") - - (net_class Default "This is the default net class." - (clearance 0.1524) - (trace_width 0.127) - (via_dia 0.44958) - (via_drill 0.20066) - (uvia_dia 0.508) - (uvia_drill 0.127) - (add_net +3V3) - (add_net +5V) - (add_net /DM) - (add_net /DP) - (add_net /PA0) - (add_net /PB0) - (add_net /PB1) - (add_net /PB2) - (add_net /PB3) - (add_net /PB4) - (add_net /PB5) - (add_net /PB6) - (add_net /PB7) - (add_net /RESET#) - (add_net /SCL) - (add_net /SDA) - (add_net /WAKEUP) - (add_net /XTALIN) - (add_net /XTALOUT) - (add_net GND) - (add_net "Net-(C15-Pad1)") - (add_net "Net-(D1-Pad1)") - ) - - (module SM0402 (layer Front) (tedit 4FCE909E) (tstamp 4FCBF572) - (at 74.93 38.735 180) - (path /4FCAA85F) - (attr smd) - (fp_text reference C14 (at 0 0 180) (layer F.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_text value 1uF (at 0 -0.635 180) (layer F.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 1 +5V)) - (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE9854) (tstamp 4FCBF566) - (at 77.343 38.862 90) - (path /4FCA9777) - (attr smd) - (fp_text reference C5 (at 0 0 90) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Front) (tedit 4FCE9564) (tstamp 4FCBF574) - (at 71.374 38.735 180) - (path /4FCAAEBC) - (attr smd) - (fp_text reference D1 (at 0 -0.04826 180) (layer F.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_text value LED1 (at 0 -0.635 180) (layer F.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 22 "Net-(D1-Pad1)")) - (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SOT23-5 (layer Front) (tedit 4FCE8523) (tstamp 4FCBF54B) - (at 75.15098 41.39946 270) - (path /4FCAA78E) - (attr smd) - (fp_text reference U2 (at 2.19964 -0.29972 360) (layer F.SilkS) - (effects (font (size 0.635 0.635) (thickness 0.127))) - ) - (fp_text value MIC5319 (at 18.2499 23.25116 270) (layer F.SilkS) hide - (effects (font (size 0.635 0.635) (thickness 0.127))) - ) - (fp_line (start 1.524 -0.889) (end 1.524 0.889) (layer F.SilkS) (width 0.127)) - (fp_line (start 1.524 0.889) (end -1.524 0.889) (layer F.SilkS) (width 0.127)) - (fp_line (start -1.524 0.889) (end -1.524 -0.889) (layer F.SilkS) (width 0.127)) - (fp_line (start -1.524 -0.889) (end 1.524 -0.889) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.9525 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask) - (net 1 +5V)) - (pad 3 smd rect (at 0.9525 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask) - (net 1 +5V)) - (pad 5 smd rect (at -0.9525 -1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask) - (net 19 GND)) - (pad 4 smd rect (at 0.9525 -1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask) - (net 21 "Net-(C15-Pad1)")) - (model smd/SOT23_5.wrl - (at (xyz 0 0 0)) - (scale (xyz 0.1 0.1 0.1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module QFN56 (layer Back) (tedit 4FCBF74A) (tstamp 4FCE8310) - (at 73.1012 43.8658 270) - (path /4FC8F245) - (fp_text reference IC1 (at 0 -0.50038 270) (layer B.SilkS) hide - (effects (font (thickness 0.3048)) (justify mirror)) - ) - (fp_text value CY7C68013A-56 (at 0.59944 21.69922 270) (layer B.SilkS) hide - (effects (font (thickness 0.3048)) (justify mirror)) - ) - (pad "" smd rect (at 0 0 270) (size 4.8006 5.4991) (layers Back B.Paste B.Mask) - (clearance 0.01016)) - (pad 28 smd rect (at -3.25374 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - (pad 27 smd rect (at -2.75336 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 20 +3V3) (clearance 0.09906)) - (pad 26 smd rect (at -2.25298 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - (pad 25 smd rect (at -1.7526 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 12 /PB7) (clearance 0.09906)) - (pad 24 smd rect (at -1.25476 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 11 /PB6) (clearance 0.09906)) - (pad 23 smd rect (at -0.75438 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 10 /PB5) (clearance 0.09906)) - (pad 22 smd rect (at -0.254 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 9 /PB4) (clearance 0.09906)) - (pad 21 smd rect (at 0.24638 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 8 /PB3) (clearance 0.09906)) - (pad 20 smd rect (at 0.74676 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 7 /PB2) (clearance 0.09906)) - (pad 19 smd rect (at 1.24714 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 6 /PB1) (clearance 0.09906)) - (pad 18 smd rect (at 1.74752 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 5 /PB0) (clearance 0.09906)) - (pad 17 smd rect (at 2.2479 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 20 +3V3) (clearance 0.09906)) - (pad 16 smd rect (at 2.74574 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 15 /SDA) (clearance 0.09906)) - (pad 15 smd rect (at 3.24612 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 14 /SCL) (clearance 0.09906)) - (pad 29 smd rect (at -3.85318 -3.24612 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 30 smd rect (at -3.85318 -2.74574 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 31 smd rect (at -3.85318 -2.24536 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 32 smd rect (at -3.85318 -1.74498 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 20 +3V3) (clearance 0.09906)) - (pad 33 smd rect (at -3.85318 -1.2446 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 4 /PA0) (clearance 0.09906)) - (pad 34 smd rect (at -3.85318 -0.74422 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 35 smd rect (at -3.85318 -0.24384 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 36 smd rect (at -3.85318 0.254 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 37 smd rect (at -3.85318 0.75438 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 38 smd rect (at -3.85318 1.25476 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 39 smd rect (at -3.85318 1.75514 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 40 smd rect (at -3.85318 2.25552 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 41 smd rect (at -3.85318 2.7559 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - (pad 42 smd rect (at -3.85318 3.25374 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 13 /RESET#) (clearance 0.09906)) - (pad 43 smd rect (at -3.25374 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 20 +3V3) (clearance 0.09906)) - (pad 44 smd rect (at -2.80162 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 16 /WAKEUP) (clearance 0.09906)) - (pad 45 smd rect (at -2.30378 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 46 smd rect (at -1.8034 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 47 smd rect (at -1.30302 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 48 smd rect (at -0.80264 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 49 smd rect (at -0.30226 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 50 smd rect (at 0.19812 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 51 smd rect (at 0.6985 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 52 smd rect (at 1.19634 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 53 smd rect (at 1.69672 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - (pad 54 smd rect (at 2.1971 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 55 smd rect (at 2.69748 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 20 +3V3) (clearance 0.09906)) - (pad 56 smd rect (at 3.19786 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - (pad 1 smd rect (at 3.7973 3.25628 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 2 smd rect (at 3.7973 2.7559 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 3 smd rect (at 3.7973 2.25552 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 20 +3V3) (clearance 0.09906)) - (pad 4 smd rect (at 3.7973 1.75514 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 18 /XTALOUT) (clearance 0.09906)) - (pad 5 smd rect (at 3.7973 1.25476 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 17 /XTALIN) (clearance 0.09906)) - (pad 6 smd rect (at 3.7973 0.75438 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - (pad 7 smd rect (at 3.7973 0.254 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 20 +3V3) (clearance 0.09906)) - (pad 8 smd rect (at 3.7973 -0.24384 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 3 /DP) (clearance 0.09906)) - (pad 9 smd rect (at 3.7973 -0.74422 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 2 /DM) (clearance 0.09906)) - (pad 10 smd rect (at 3.7973 -1.2446 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - (pad 11 smd rect (at 3.7973 -1.74498 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 20 +3V3) (clearance 0.09906)) - (pad 12 smd rect (at 3.7973 -2.24536 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - (pad 13 smd rect (at 3.7973 -2.74574 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (clearance 0.09906)) - (pad 14 smd rect (at 3.7973 -3.24612 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - ) - - (module SM0402 (layer Back) (tedit 4FCE9096) (tstamp 4FCBF580) - (at 79.883 39.37 270) - (path /4FCAA863) - (attr smd) - (fp_text reference C16 (at 0 0 270) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 2.2uF (at 0 0.635 270) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Front) (tedit 4FCE90A1) (tstamp 4FCBF570) - (at 78.74 38.989 180) - (path /4FCAA86B) - (attr smd) - (fp_text reference C15 (at -0.04826 0.0508 180) (layer F.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_text value 100nF (at 0 -0.635 180) (layer F.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 21 "Net-(C15-Pad1)")) - (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE909B) (tstamp 4FCBF56E) - (at 75.311 38.481) - (path /4FCA97A0) - (attr smd) - (fp_text reference C13 (at 0 -0.0508) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 100nF (at 0 0.635) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE9094) (tstamp 4FCBF56A) - (at 71.12 38.862 180) - (path /4FCAA3E6) - (attr smd) - (fp_text reference R2 (at 0 0 180) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 2K7 (at 0 0.635 180) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 13 /RESET#)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE90AA) (tstamp 4FCBF54C) - (at 78.74 38.862 270) - (path /4FCA9724) - (attr smd) - (fp_text reference C10 (at -0.0508 -0.0508 270) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 100nF (at 0 0.635 270) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE9060) (tstamp 4FCE905B) - (at 75.692 50.165) - (path /4FCA9793) - (attr smd) - (fp_text reference C7 (at 0 -0.0508) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 100nF (at 0 0.635) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE9076) (tstamp 4FCBF564) - (at 75.692 48.768) - (path /4FCA96AB) - (attr smd) - (fp_text reference C2 (at -0.00254 -0.00254) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 100nF (at 0 0.635) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE907D) (tstamp 515DB414) - (at 68.3006 46.0502 90) - (path /4FCA9799) - (attr smd) - (fp_text reference C12 (at 0 0 90) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE9086) (tstamp 4FCBF560) - (at 74.295 49.149 90) - (path /4FCA9C86) - (attr smd) - (fp_text reference C11 (at -0.00254 0 90) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE90AC) (tstamp 4FCBF55E) - (at 77.4065 49.0855 90) - (path /4FCA9C79) - (attr smd) - (fp_text reference C6 (at 0 0.0508 90) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 2.2uF (at 0 0.635 90) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE908E) (tstamp 4FCBF55C) - (at 71.882 48.895) - (path /4FC8F6F8) - (attr smd) - (fp_text reference C4 (at 0 0) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 12pF (at 0 0.635) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 17 /XTALIN)) - (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE90AE) (tstamp 4FCBF55A) - (at 68.3006 47.85106 90) - (path /4FC8F6F5) - (attr smd) - (fp_text reference C9 (at 0 0 90) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 12pF (at 0 0.635 90) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 18 /XTALOUT)) - (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Front) (tedit 4FCE9081) (tstamp 4FCE9F16) - (at 68.834 38.862) - (path /4FCBEB98) - (attr smd) - (fp_text reference C1 (at 0 0.04826) (layer F.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_text value 1uF (at 0 -0.635) (layer F.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE9556) (tstamp 4FCBF556) - (at 68.834 38.608 180) - (path /4FCA95B2) - (attr smd) - (fp_text reference R1 (at 0 0 180) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 100K (at 0 0.635 180) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 16 /WAKEUP)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Front) (tedit 4FCE90B3) (tstamp 4FCBF552) - (at 79.375 48.26) - (path /4FCA93BD) - (attr smd) - (fp_text reference R4 (at 0 0.0508) (layer F.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_text value 2K7 (at 0 -0.635) (layer F.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 15 /SDA)) - (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 20 +3V3)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Front) (tedit 4FCE905E) (tstamp 4FCBF550) - (at 71.882 50.165 180) - (path /4FCA9C5D) - (attr smd) - (fp_text reference C3 (at -0.00254 -0.0508 180) (layer F.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_text value 2.2uF (at 0 -0.635 180) (layer F.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762))) - ) - (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE9065) (tstamp 4FCBF54E) - (at 73.279 49.784 90) - (path /4FCA9C7E) - (attr smd) - (fp_text reference C8 (at 0 -0.0508 90) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 19 GND)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 4FCE90A9) (tstamp 4FCBF56C) - (at 78.74 49.5935 270) - (path /5151D52F) - (attr smd) - (fp_text reference R3 (at 0 0.00254 270) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 2K7 (at 0 0.635 270) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 14 /SCL)) - (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 20 +3V3)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module SM0402 (layer Back) (tedit 5151D5A0) (tstamp 5151D57D) - (at 73.406 38.735) - (path /4FCAAEBE) - (attr smd) - (fp_text reference R5 (at 0 0) (layer B.SilkS) - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_text value 2K7 (at 0 0.635) (layer B.SilkS) hide - (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) - ) - (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) - (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 22 "Net-(D1-Pad1)")) - (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) - (net 4 /PA0)) - (model smd\chip_cms.wrl - (at (xyz 0 0 0.002)) - (scale (xyz 0.05 0.05 0.05)) - (rotate (xyz 0 0 0)) - ) - ) - - (module ABM11 (layer Back) (tedit 5962A342) (tstamp 596295DB) - (at 69.977 49.4792 90) - (path /5962A5CC) - (fp_text reference Y1 (at 0 -6.72592 90) (layer B.SilkS) hide - (effects (font (thickness 0.3048)) (justify mirror)) - ) - (fp_text value 24MHz (at 0 4.7498 90) (layer B.SilkS) hide - (effects (font (thickness 0.3048)) (justify mirror)) - ) - (pad 1 smd rect (at -0.65024 -0.50038 90) (size 0.7493 0.65024) (layers Back B.Paste B.Mask) - (net 17 /XTALIN) (clearance 0.09906)) - (pad 2 smd rect (at 0.6477 -0.50038 90) (size 0.7493 0.65024) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - (pad 3 smd rect (at 0.6477 0.50038 90) (size 0.7493 0.65024) (layers Back B.Paste B.Mask) - (net 18 /XTALOUT) (clearance 0.09906)) - (pad 4 smd rect (at -0.65024 0.50038 90) (size 0.7493 0.65024) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.09906)) - ) - - (module fx2grok-tiny:MICRO-USB-B-SMD (layer Front) (tedit 59AEE3E8) (tstamp 4FCBF583) - (at 70.85076 44.74972 270) - (path /4FCAA5E6) - (fp_text reference U1 (at 0.14986 6.52018 270) (layer F.SilkS) hide - (effects (font (thickness 0.3048))) - ) - (fp_text value MICRO-USB-B-SMD (at -0.03048 -5.1689 270) (layer F.SilkS) hide - (effects (font (thickness 0.3048))) - ) - (pad 1 smd rect (at -1.30048 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask) - (net 1 +5V) (clearance 0.09906)) - (pad 2 smd rect (at -0.65024 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask) - (net 2 /DM) (clearance 0.09906)) - (pad 3 smd rect (at 0 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask) - (net 3 /DP) (clearance 0.09906)) - (pad 4 smd rect (at 0.65024 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask) - (clearance 0.09906)) - (pad 5 smd rect (at 1.30048 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask) - (net 19 GND) (clearance 0.09906)) - (pad 5 smd rect (at -3.0988 -0.8509 270) (size 2.10058 1.6002) (layers Front F.Paste F.Mask) - (net 19 GND) (clearance 0.29972)) - (pad 5 smd rect (at 3.10134 -0.8509 270) (size 2.10058 1.6002) (layers Front F.Paste F.Mask) - (net 19 GND) (clearance 0.29972)) - (pad 5 smd rect (at 3.8989 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask) - (net 19 GND) (clearance 0.29972)) - (pad 5 smd rect (at 1.19888 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask) - (net 19 GND) (clearance 0.29972)) - (pad 5 smd rect (at -1.19888 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask) - (net 19 GND) (clearance 0.29972)) - (pad 5 smd rect (at -3.8989 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask) - (net 19 GND) (clearance 0.29972)) - ) - - (module fx2grok-tiny:CONN-5x1-SMD-127MM (layer Back) (tedit 59B14D2B) (tstamp 5151CF2A) - (at 79.121 43.307 270) - (path /59637927) - (fp_text reference P2 (at 0.0762 -9.42594 270) (layer B.SilkS) - (effects (font (thickness 0.3048)) (justify mirror)) - ) - (fp_text value CONN_01X05 (at -0.0508 8.8011 270) (layer B.SilkS) - (effects (font (thickness 0.3048)) (justify mirror)) - ) - (pad 1 smd rect (at -2.17932 -0.16002 270) (size 0.75946 2.2003) (layers Back B.Paste B.Mask) - (net 19 GND) (clearance 0.0508)) - (pad 2 smd rect (at -0.90424 -0.16002 270) (size 0.75946 2.2003) (layers Back B.Paste B.Mask) - (net 12 /PB7) (clearance 0.0508)) - (pad 3 smd rect (at 0.37084 -0.16002 270) (size 0.75946 2.2003) (layers Back B.Paste B.Mask) - (net 10 /PB5) (clearance 0.0508)) - (pad 4 smd rect (at 1.64592 -0.16002 270) (size 0.75946 2.2003) (layers Back B.Paste B.Mask) - (net 8 /PB3) (clearance 0.0508)) - (pad 5 smd rect (at 2.921 -0.16002 270) (size 0.75946 2.2003) (layers Back B.Paste B.Mask) - (net 6 /PB1) (clearance 0.0508)) - ) - - (module fx2grok-tiny:CONN-5x1-SMD-127MM (layer Front) (tedit 59B14D2B) (tstamp 4FCD3C8E) - (at 79.121 44.069 90) - (path /596377A2) - (fp_text reference P1 (at 0.0762 9.42594 90) (layer F.SilkS) - (effects (font (thickness 0.3048))) - ) - (fp_text value CONN_01X05 (at -0.0508 -8.8011 90) (layer F.SilkS) - (effects (font (thickness 0.3048))) - ) - (pad 1 smd rect (at -2.17932 0.16002 90) (size 0.75946 2.2003) (layers Front F.Paste F.Mask) - (net 5 /PB0) (clearance 0.0508)) - (pad 2 smd rect (at -0.90424 0.16002 90) (size 0.75946 2.2003) (layers Front F.Paste F.Mask) - (net 7 /PB2) (clearance 0.0508)) - (pad 3 smd rect (at 0.37084 0.16002 90) (size 0.75946 2.2003) (layers Front F.Paste F.Mask) - (net 9 /PB4) (clearance 0.0508)) - (pad 4 smd rect (at 1.64592 0.16002 90) (size 0.75946 2.2003) (layers Front F.Paste F.Mask) - (net 11 /PB6) (clearance 0.0508)) - (pad 5 smd rect (at 2.921 0.16002 90) (size 0.75946 2.2003) (layers Front F.Paste F.Mask) - (net 19 GND) (clearance 0.0508)) - ) - - (gr_text "tiny 0.1" (at 76.454 44.45 90) (layer Front) - (effects (font (size 0.762 0.508) (thickness 0.127))) - ) - (gr_text fx2grok (at 75.184 44.45 90) (layer Front) - (effects (font (size 0.889 0.635) (thickness 0.127))) - ) - (gr_line (start 79.70012 50.7492) (end 80.70088 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254)) - (gr_line (start 79.70012 37.74948) (end 80.70088 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254)) - (gr_line (start 80.70088 38.75024) (end 80.70088 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254)) - (gr_line (start 67.70116 38.75024) (end 67.70116 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254)) - (gr_line (start 79.70012 37.74948) (end 67.70116 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254)) - (gr_line (start 80.70088 50.7492) (end 80.70088 38.75024) (angle 90) (layer Edge.Cuts) (width 0.0254)) - (gr_line (start 67.70116 50.7492) (end 79.70012 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254)) - (gr_line (start 67.70116 38.75024) (end 67.70116 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254)) - - (segment (start 73.88098 40.44696) (end 73.88098 40.2336) (width 0.127) (layer Front) (net 1)) - (segment (start 73.88098 40.2336) (end 75.37958 38.735) (width 0.127) (layer Front) (net 1) (tstamp 59B03C5D)) - (segment (start 71.80072 43.44924) (end 72.37476 43.44924) (width 0.127) (layer Front) (net 1) (status 30)) - (segment (start 72.37476 43.44924) (end 72.39 43.434) (width 0.127) (layer Front) (net 1) (tstamp 59B01343) (status 30)) - (segment (start 72.39 43.434) (end 72.644 43.434) (width 0.127) (layer Front) (net 1) (tstamp 59B01345) (status 10)) - (segment (start 72.644 43.434) (end 73.025 43.053) (width 0.127) (layer Front) (net 1) (tstamp 59B01347)) - (segment (start 73.025 43.053) (end 73.025 42.545) (width 0.127) (layer Front) (net 1) (tstamp 59B0134E)) - (segment (start 73.025 42.545) (end 73.21804 42.35196) (width 0.127) (layer Front) (net 1) (tstamp 59B0134F)) - (segment (start 73.21804 42.35196) (end 73.88098 42.35196) (width 0.127) (layer Front) (net 1) (tstamp 59B01351)) - (segment (start 75.311 38.80358) (end 75.37958 38.735) (width 0.127) (layer Front) (net 1) (tstamp 59B004F6) (status 30)) - (segment (start 73.88098 40.44696) (end 74.89698 40.44696) (width 0.127) (layer Front) (net 1)) - (segment (start 75.30084 40.85082) (end 75.30084 41.84904) (width 0.127) (layer Front) (net 1)) - (segment (start 74.89698 40.44696) (end 75.30084 40.85082) (width 0.127) (layer Front) (net 1)) - (segment (start 75.30084 41.84904) (end 74.79792 42.35196) (width 0.127) (layer Front) (net 1)) - (segment (start 74.79792 42.35196) (end 73.88098 42.35196) (width 0.127) (layer Front) (net 1)) - (segment (start 73.75398 46.8249) (end 73.8505 46.8249) (width 0.127) (layer Front) (net 2)) - (segment (start 73.1774 45.15104) (end 73.1774 46.24832) (width 0.127) (layer Front) (net 2)) - (segment (start 73.84542 46.82998) (end 73.84542 47.6631) (width 0.127) (layer Back) (net 2) (status 20)) - (segment (start 72.75068 44.72432) (end 73.1774 45.15104) (width 0.127) (layer Front) (net 2)) - (segment (start 72.75068 44.42714) (end 72.75068 44.72432) (width 0.127) (layer Front) (net 2)) - (segment (start 73.1774 46.24832) (end 73.75398 46.8249) (width 0.127) (layer Front) (net 2)) - (segment (start 72.42302 44.09948) (end 72.75068 44.42714) (width 0.127) (layer Front) (net 2) (status 10)) - (segment (start 73.8505 46.8249) (end 73.84542 46.82998) (width 0.127) (layer Back) (net 2)) - (segment (start 71.80072 44.09948) (end 72.42302 44.09948) (width 0.127) (layer Front) (net 2) (status 30)) - (via (at 73.8505 46.8249) (size 0.44958) (layers Front Back) (net 2)) - (segment (start 72.82434 45.22216) (end 72.82434 46.55058) (width 0.127) (layer Front) (net 3)) - (segment (start 73.14946 46.8757) (end 73.34504 47.07128) (width 0.127) (layer Back) (net 3)) - (segment (start 71.80072 44.74972) (end 72.34936 44.74972) (width 0.127) (layer Front) (net 3) (status 30)) - (segment (start 73.34504 47.07128) (end 73.34504 47.6631) (width 0.127) (layer Back) (net 3) (status 20)) - (segment (start 72.72782 45.12564) (end 72.82434 45.22216) (width 0.127) (layer Front) (net 3)) - (segment (start 72.72528 45.12564) (end 72.72782 45.12564) (width 0.127) (layer Front) (net 3)) - (segment (start 72.34936 44.74972) (end 72.72528 45.12564) (width 0.127) (layer Front) (net 3) (status 10)) - (via (at 73.14946 46.8757) (size 0.44958) (layers Front Back) (net 3)) - (segment (start 72.82434 46.55058) (end 73.14946 46.8757) (width 0.127) (layer Front) (net 3)) - (segment (start 74.3458 40.01262) (end 74.3458 39.35222) (width 0.127) (layer Back) (net 4) (status 10)) - (segment (start 74.3458 39.35222) (end 73.85558 38.735) (width 0.127) (layer Back) (net 4) (tstamp 59B00F08) (status 20)) - (segment (start 77.47 45.6438) (end 77.43952 45.61332) (width 0.127) (layer Back) (net 5)) - (segment (start 77.43952 45.61332) (end 76.94676 45.61332) (width 0.127) (layer Back) (net 5) (tstamp 59B041CB)) - (segment (start 78.105 46.2788) (end 79.45882 46.2788) (width 0.127) (layer Front) (net 5) (tstamp 59AEEAD0)) - (segment (start 77.8002 45.974) (end 78.105 46.2788) (width 0.127) (layer Front) (net 5) (tstamp 59AEEACF)) - (via (at 77.8002 45.974) (size 0.44958) (drill 0.20066) (layers Front Back) (net 5)) - (segment (start 77.47254 45.64634) (end 77.47 45.6438) (width 0.127) (layer Back) (net 5) (tstamp 59AEEACA)) - (segment (start 77.47 45.6438) (end 77.8002 45.974) (width 0.127) (layer Back) (net 5) (tstamp 59B041C9)) - (segment (start 77.4065 45.1485) (end 77.37094 45.11294) (width 0.127) (layer Back) (net 6)) - (segment (start 77.37094 45.11294) (end 76.94676 45.11294) (width 0.127) (layer Back) (net 6) (tstamp 59B041D1)) - (segment (start 78.232 45.847) (end 78.65618 46.27118) (width 0.127) (layer Back) (net 6) (tstamp 59B0118B)) - (segment (start 78.232 45.72) (end 78.232 45.847) (width 0.127) (layer Back) (net 6) (tstamp 59B01187)) - (segment (start 77.978 45.466) (end 78.232 45.72) (width 0.127) (layer Back) (net 6) (tstamp 59B01186)) - (segment (start 77.724 45.466) (end 77.978 45.466) (width 0.127) (layer Back) (net 6) (tstamp 59B01185)) - (segment (start 77.40396 45.14596) (end 77.4065 45.1485) (width 0.127) (layer Back) (net 6) (tstamp 59B01183)) - (segment (start 77.4065 45.1485) (end 77.724 45.466) (width 0.127) (layer Back) (net 6) (tstamp 59B041CF)) - (segment (start 78.65618 46.27118) (end 79.45882 46.27118) (width 0.127) (layer Back) (net 6) (tstamp 59B01193)) - (segment (start 77.58938 44.64558) (end 77.55636 44.61256) (width 0.127) (layer Back) (net 7)) - (segment (start 77.58938 44.64558) (end 77.8002 44.8564) (width 0.127) (layer Back) (net 7) (tstamp 59AEEC6F)) - (via (at 77.8002 44.8564) (size 0.44958) (drill 0.20066) (layers Front Back) (net 7)) - (segment (start 77.8002 44.8564) (end 77.94752 45.00372) (width 0.127) (layer Front) (net 7) (tstamp 59AEEC72)) - (segment (start 79.45882 45.00372) (end 77.94752 45.00372) (width 0.127) (layer Front) (net 7) (tstamp 59AEEC73)) - (segment (start 77.55636 44.61256) (end 76.94676 44.61256) (width 0.127) (layer Back) (net 7) (tstamp 59B041D5)) - (segment (start 77.4192 44.1452) (end 77.38618 44.11218) (width 0.127) (layer Back) (net 8)) - (segment (start 77.4192 44.1452) (end 77.597 44.323) (width 0.127) (layer Back) (net 8) (tstamp 59B0116B)) - (segment (start 77.597 44.323) (end 77.978 44.323) (width 0.127) (layer Back) (net 8) (tstamp 59B01175)) - (segment (start 78.6511 44.9961) (end 77.978 44.323) (width 0.127) (layer Back) (net 8) (tstamp 59B01176)) - (segment (start 77.38618 44.11218) (end 76.94676 44.11218) (width 0.127) (layer Back) (net 8) (tstamp 59B041DC)) - (segment (start 78.6511 44.9961) (end 79.45882 44.9961) (width 0.127) (layer Back) (net 8) (tstamp 59B01177)) - (segment (start 76.94676 43.6118) (end 77.6478 43.6118) (width 0.127) (layer Back) (net 9)) - (segment (start 77.73416 43.69816) (end 79.28102 43.69816) (width 0.127) (layer Front) (net 9) (tstamp 59B14F3F)) - (segment (start 77.724 43.688) (end 77.73416 43.69816) (width 0.127) (layer Front) (net 9) (tstamp 59B14F3E)) - (via (at 77.724 43.688) (size 0.44958) (drill 0.20066) (layers Front Back) (net 9)) - (segment (start 77.6478 43.6118) (end 77.724 43.688) (width 0.127) (layer Back) (net 9) (tstamp 59B14F3A)) - (segment (start 77.851 43.18) (end 77.78242 43.11142) (width 0.127) (layer Back) (net 10)) - (segment (start 77.78242 43.11142) (end 76.94676 43.11142) (width 0.127) (layer Back) (net 10) (tstamp 59B041E6)) - (segment (start 77.81544 43.14444) (end 77.851 43.18) (width 0.127) (layer Back) (net 10) (tstamp 59B01132)) - (segment (start 77.851 43.18) (end 78.39202 43.72102) (width 0.127) (layer Back) (net 10) (tstamp 59B041E4)) - (segment (start 78.39202 43.72102) (end 79.45882 43.72102) (width 0.127) (layer Back) (net 10) (tstamp 59B01133)) - (segment (start 77.8002 42.6466) (end 77.76464 42.61104) (width 0.127) (layer Back) (net 11)) - (segment (start 77.76464 42.61104) (end 76.94676 42.61104) (width 0.127) (layer Back) (net 11) (tstamp 59B041EA)) - (segment (start 77.99324 42.45356) (end 79.45882 42.45356) (width 0.127) (layer Front) (net 11) (tstamp 59AEEC21)) - (segment (start 77.8002 42.6466) (end 77.99324 42.45356) (width 0.127) (layer Front) (net 11) (tstamp 59AEEC20)) - (via (at 77.8002 42.6466) (size 0.44958) (drill 0.20066) (layers Front Back) (net 11)) - (segment (start 77.79766 42.64406) (end 77.8002 42.6466) (width 0.127) (layer Back) (net 11) (tstamp 59AEEC1B)) - (segment (start 77.978 42.164) (end 77.9272 42.1132) (width 0.127) (layer Back) (net 12)) - (segment (start 77.9272 42.1132) (end 76.94676 42.1132) (width 0.127) (layer Back) (net 12) (tstamp 59B041F0)) - (segment (start 77.96022 42.14622) (end 77.978 42.164) (width 0.127) (layer Back) (net 12) (tstamp 59B01151)) - (segment (start 77.978 42.164) (end 78.25994 42.44594) (width 0.127) (layer Back) (net 12) (tstamp 59B041EE)) - (segment (start 78.25994 42.44594) (end 79.45882 42.44594) (width 0.127) (layer Back) (net 12) (tstamp 59B01154)) - (segment (start 78.25994 42.44594) (end 79.45882 42.44594) (width 0.127) (layer Back) (net 12) (tstamp 59B01147)) - (segment (start 78.84668 42.44594) (end 79.45882 42.44594) (width 0.127) (layer Back) (net 12)) - (segment (start 69.84746 40.01262) (end 69.84746 39.37254) (width 0.127) (layer Back) (net 13) (status 10)) - (segment (start 69.84746 39.37254) (end 70.485 38.862) (width 0.127) (layer Back) (net 13) (tstamp 59B00F53) (status 20)) - (segment (start 70.485 38.862) (end 70.67042 38.862) (width 0.127) (layer Back) (net 13) (tstamp 59B00F54) (status 30)) - (segment (start 77.75194 47.14494) (end 77.71892 47.11192) (width 0.127) (layer Back) (net 14)) - (segment (start 78.74 48.768) (end 77.978 48.006) (width 0.127) (layer Back) (net 14) (tstamp 59AF2D77)) - (segment (start 77.978 48.006) (end 77.978 47.371) (width 0.127) (layer Back) (net 14) (tstamp 59AF2D78)) - (segment (start 77.978 47.371) (end 77.75194 47.14494) (width 0.127) (layer Back) (net 14) (tstamp 59AF2D7A)) - (segment (start 78.74 49.14392) (end 78.74 48.768) (width 0.127) (layer Back) (net 14)) - (segment (start 77.71892 47.11192) (end 76.94676 47.11192) (width 0.127) (layer Back) (net 14) (tstamp 59B041C2)) - (segment (start 77.88656 46.64456) (end 77.85354 46.61154) (width 0.127) (layer Back) (net 15)) - (via (at 78.613 47.371) (size 0.44958) (drill 0.20066) (layers Front Back) (net 15)) - (segment (start 78.613 47.371) (end 78.92542 47.68342) (width 0.127) (layer Front) (net 15) (tstamp 59B00093)) - (segment (start 78.92542 48.26) (end 78.92542 47.68342) (width 0.127) (layer Front) (net 15) (tstamp 59B00094)) - (segment (start 77.88656 46.64456) (end 78.613 47.371) (width 0.127) (layer Back) (net 15) (tstamp 59B00087)) - (segment (start 77.85354 46.61154) (end 76.94676 46.61154) (width 0.127) (layer Back) (net 15) (tstamp 59B041BE)) - (segment (start 78.92542 48.26) (end 78.92542 48.06442) (width 0.127) (layer Front) (net 15) (status 30)) - (segment (start 68.453 40.894) (end 68.62318 41.06418) (width 0.127) (layer Back) (net 16)) - (segment (start 68.453 38.67658) (end 68.453 40.894) (width 0.127) (layer Back) (net 16) (tstamp 59B0107D)) - (segment (start 68.62318 41.06418) (end 69.24548 41.06418) (width 0.127) (layer Back) (net 16) (tstamp 59B0420B)) - (segment (start 68.38442 38.608) (end 68.453 38.67658) (width 0.127) (layer Back) (net 16)) - (segment (start 69.47662 50.12944) (end 69.47662 49.85258) (width 0.127) (layer Back) (net 17) (status 30)) - (segment (start 69.47662 49.85258) (end 69.85 49.53) (width 0.127) (layer Back) (net 17) (tstamp 59637443) (status 10)) - (segment (start 69.85 49.53) (end 71.247 49.53) (width 0.127) (layer Back) (net 17) (tstamp 59637444)) - (segment (start 71.247 49.53) (end 71.43242 49.34458) (width 0.127) (layer Back) (net 17) (tstamp 59637445)) - (segment (start 71.43242 49.34458) (end 71.43242 48.895) (width 0.127) (layer Back) (net 17) (tstamp 59637446)) - (segment (start 71.43242 48.895) (end 71.43242 48.70958) (width 0.127) (layer Back) (net 17)) - (segment (start 71.43242 48.70958) (end 71.84644 48.29556) (width 0.127) (layer Back) (net 17) (tstamp 5962A5AB)) - (segment (start 71.84644 48.29556) (end 71.84644 47.6631) (width 0.127) (layer Back) (net 17) (tstamp 5962A5AE) (status 20)) - (segment (start 70.47738 48.8315) (end 70.47738 48.58258) (width 0.127) (layer Back) (net 18) (status 30)) - (segment (start 70.47738 48.58258) (end 70.104 48.2346) (width 0.127) (layer Back) (net 18) (tstamp 596374C8) (status 10)) - (segment (start 70.104 48.2346) (end 68.34124 48.2346) (width 0.127) (layer Back) (net 18) (tstamp 596374CA)) - (segment (start 70.47738 48.8315) (end 70.4977 48.8315) (width 0.127) (layer Back) (net 18) (status 30)) - (segment (start 70.4977 48.8315) (end 71.34606 48.00092) (width 0.127) (layer Back) (net 18) (tstamp 5963744A) (status 20)) - (segment (start 71.34606 48.00092) (end 71.34606 47.6631) (width 0.127) (layer Back) (net 18) (tstamp 5963744C) (status 30)) - (segment (start 71.34606 47.6631) (end 71.34606 48.00092) (width 0.127) (layer Back) (net 18) (status 30)) - (segment (start 77.343 38.41242) (end 76.51242 38.41242) (width 0.127) (layer Back) (net 19)) - (segment (start 76.51242 38.41242) (end 76.454 38.354) (width 0.127) (layer Back) (net 19) (tstamp 59B00DB7)) - (segment (start 75.692 46.99) (end 75.7428 46.9392) (width 0.127) (layer Back) (net 19)) - (segment (start 74.549 46.736) (end 74.3458 46.9392) (width 0.127) (layer Back) (net 19) (tstamp 59AEE9AA)) - (via (at 74.549 46.736) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) - (segment (start 75.946 46.736) (end 74.549 46.736) (width 0.127) (layer Front) (net 19) (tstamp 59AEE9A7)) - (segment (start 76.1746 46.9392) (end 75.946 46.736) (width 0.127) (layer Front) (net 19) (tstamp 59AEE9A6)) - (via (at 76.1746 46.9392) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) - (segment (start 75.34656 47.33544) (end 75.692 46.99) (width 0.127) (layer Back) (net 19) (tstamp 59AEE996)) - (segment (start 74.3458 46.9392) (end 74.3458 47.6631) (width 0.127) (layer Back) (net 19) (tstamp 59AEE9AB) (status 20)) - (segment (start 75.7428 46.9392) (end 76.1746 46.9392) (width 0.127) (layer Back) (net 19) (tstamp 59B04241)) - (segment (start 68.3006 45.60062) (end 68.3387 45.56252) (width 0.127) (layer Back) (net 19)) - (segment (start 68.3387 45.56252) (end 69.24548 45.56252) (width 0.127) (layer Back) (net 19) (tstamp 59B04235)) - (segment (start 68.58254 47.11954) (end 68.63842 47.06366) (width 0.127) (layer Back) (net 19)) - (segment (start 68.63842 47.06366) (end 69.24548 47.06366) (width 0.127) (layer Back) (net 19) (tstamp 59B04224)) - (segment (start 70.3453 40.64) (end 70.3453 40.72128) (width 0.127) (layer Back) (net 19)) - (segment (start 70.3453 40.72128) (end 70.50024 40.87622) (width 0.127) (layer Back) (net 19) (tstamp 59B04206)) - (segment (start 77.597 41.656) (end 77.724 41.529) (width 0.127) (layer Back) (net 19)) - (segment (start 76.94676 40.61206) (end 77.56906 40.61206) (width 0.127) (layer Back) (net 19) (tstamp 59B041FA)) - (segment (start 77.724 40.767) (end 77.56906 40.61206) (width 0.127) (layer Back) (net 19) (tstamp 59B041F9)) - (segment (start 77.47 41.656) (end 77.597 41.656) (width 0.127) (layer Back) (net 19) (tstamp 59B041F6)) - (segment (start 77.343 41.656) (end 77.47 41.656) (width 0.127) (layer Back) (net 19) (tstamp 59B041F5)) - (segment (start 77.343 41.656) (end 76.98994 41.656) (width 0.127) (layer Back) (net 19) (tstamp 59B041F4)) - (segment (start 77.724 41.529) (end 77.724 40.767) (width 0.127) (layer Back) (net 19) (tstamp 59B04201)) - (segment (start 68.707 49.149) (end 69.0245 48.8315) (width 0.127) (layer Back) (net 19)) - (segment (start 69.1515 48.7045) (end 68.707 49.149) (width 0.127) (layer Front) (net 19) (tstamp 596374AE) (status 30)) - (via (at 68.707 49.149) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19) (status 30)) - (segment (start 69.0245 48.8315) (end 69.47662 48.8315) (width 0.127) (layer Back) (net 19) (tstamp 59B041B8)) - (segment (start 71.882 49.53) (end 71.28256 50.12944) (width 0.127) (layer Back) (net 19)) - (segment (start 71.28256 50.12944) (end 70.47738 50.12944) (width 0.127) (layer Back) (net 19) (tstamp 59B041B1)) - (segment (start 70.92442 38.735) (end 69.41058 38.735) (width 0.127) (layer Front) (net 19) (status 10)) - (segment (start 69.41058 38.735) (end 69.28358 38.862) (width 0.127) (layer Front) (net 19) (tstamp 59B03CAD)) - (segment (start 74.48042 38.735) (end 74.48042 38.29558) (width 0.127) (layer Front) (net 19)) - (segment (start 76.2 38.1) (end 76.454 38.354) (width 0.127) (layer Front) (net 19) (tstamp 59B03C51)) - (segment (start 74.676 38.1) (end 76.2 38.1) (width 0.127) (layer Front) (net 19) (tstamp 59B03C50)) - (segment (start 74.48042 38.29558) (end 74.676 38.1) (width 0.127) (layer Front) (net 19) (tstamp 59B03C4F)) - (segment (start 71.70166 41.65092) (end 71.70166 40.43934) (width 0.127) (layer Front) (net 19) (status 10)) - (segment (start 73.71842 39.43858) (end 74.48042 38.735) (width 0.127) (layer Front) (net 19) (tstamp 59B00F17) (status 20)) - (segment (start 73.279 39.878) (end 73.71842 39.43858) (width 0.127) (layer Front) (net 19) (tstamp 59B00F16)) - (segment (start 72.263 39.878) (end 73.279 39.878) (width 0.127) (layer Front) (net 19) (tstamp 59B00F14)) - (segment (start 71.70166 40.43934) (end 72.263 39.878) (width 0.127) (layer Front) (net 19) (tstamp 59B00F13)) - (segment (start 77.47 40.005) (end 78.29042 39.18458) (width 0.127) (layer Front) (net 19)) - (via (at 77.47 40.005) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) - (segment (start 78.29042 39.18458) (end 78.29042 38.989) (width 0.127) (layer Front) (net 19) (tstamp 59B00EA9)) - (segment (start 76.94676 40.61206) (end 76.94676 40.49522) (width 0.127) (layer Back) (net 19) (status 30)) - (segment (start 76.94676 40.49522) (end 77.47 40.005) (width 0.127) (layer Back) (net 19) (tstamp 59B00E8A) (status 10)) - (segment (start 74.48042 38.735) (end 74.48042 38.54958) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 77.47 40.005) (end 77.47 40.05072) (width 0.127) (layer Back) (net 19) (tstamp 59AFFBC6)) - (segment (start 77.47 40.05072) (end 77.47 40.005) (width 0.127) (layer Back) (net 19) (tstamp 59AFFBC7)) - (segment (start 77.47 40.005) (end 77.47 40.05072) (width 0.127) (layer Back) (net 19) (tstamp 59AFFBC9)) - (segment (start 78.82128 40.05072) (end 78.867 40.005) (width 0.127) (layer Back) (net 19) (tstamp 59AF2BB8)) - (segment (start 78.867 40.0685) (end 78.867 40.005) (width 0.127) (layer Back) (net 19) (tstamp 59AF2BD0)) - (segment (start 77.47 40.05072) (end 78.82128 40.05072) (width 0.127) (layer Back) (net 19) (tstamp 59AFFBCA)) - (segment (start 76.454 38.354) (end 75.88758 38.354) (width 0.127) (layer Back) (net 19)) - (segment (start 75.88758 38.354) (end 75.76058 38.481) (width 0.127) (layer Back) (net 19) (tstamp 59B00DCB)) - (segment (start 77.089 38.989) (end 78.29042 38.989) (width 0.127) (layer Front) (net 19) (tstamp 59B00DBE)) - (segment (start 76.454 38.354) (end 77.089 38.989) (width 0.127) (layer Front) (net 19) (tstamp 59B00DBD)) - (via (at 76.454 38.354) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) - (segment (start 71.70166 41.65092) (end 71.70166 40.62476) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 69.28358 38.862) (end 69.1515 38.99408) (width 0.127) (layer Front) (net 19)) - (segment (start 69.1515 38.99408) (end 69.1515 40.85082) (width 0.127) (layer Front) (net 19) (tstamp 59B004C5) (status 20)) - (segment (start 74.48042 38.735) (end 74.48042 38.67658) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 79.883 39.81958) (end 79.63408 40.0685) (width 0.127) (layer Back) (net 19)) - (segment (start 79.63408 40.0685) (end 78.867 40.0685) (width 0.127) (layer Back) (net 19) (tstamp 59B00244)) - (segment (start 78.74 39.31158) (end 78.74 39.9415) (width 0.127) (layer Back) (net 19)) - (segment (start 78.74 39.9415) (end 78.867 40.0685) (width 0.127) (layer Back) (net 19) (tstamp 59B00239)) - (segment (start 78.29042 38.989) (end 78.29042 38.92042) (width 0.127) (layer Front) (net 19)) - (segment (start 69.24548 47.06366) (end 69.24548 47.72152) (width 0.127) (layer Back) (net 19) (status 10)) - (segment (start 69.215 47.752) (end 69.1515 47.8155) (width 0.127) (layer Front) (net 19) (tstamp 59AEE64B) (status 30)) - (via (at 69.215 47.752) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19) (status 30)) - (segment (start 69.24548 47.72152) (end 69.215 47.752) (width 0.127) (layer Back) (net 19) (tstamp 59AEE646)) - (segment (start 76.14158 48.768) (end 76.073 48.768) (width 0.127) (layer Back) (net 19)) - (segment (start 76.073 48.768) (end 75.34656 48.00854) (width 0.127) (layer Back) (net 19) (tstamp 59AFC597) (status 20)) - (segment (start 75.34656 48.00854) (end 75.34656 47.6631) (width 0.127) (layer Back) (net 19) (tstamp 59AFC599) (status 30)) - (segment (start 76.14158 48.57242) (end 76.34732 48.36668) (width 0.127) (layer Back) (net 19) (tstamp 5962A706)) - (segment (start 76.34732 48.36668) (end 76.34732 47.6631) (width 0.127) (layer Back) (net 19) (tstamp 5962A707) (status 20)) - (segment (start 75.34656 47.6631) (end 75.34656 48.00854) (width 0.127) (layer Back) (net 19) (status 30)) - (segment (start 76.14158 48.768) (end 77.27442 48.768) (width 0.127) (layer Back) (net 19) (status 20)) - (segment (start 77.27442 48.768) (end 77.4065 48.63592) (width 0.127) (layer Back) (net 19) (tstamp 59AF2D03) (status 30)) - (segment (start 78.867 40.0685) (end 79.1845 40.386) (width 0.127) (layer Front) (net 19)) - (via (at 78.867 40.0685) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) - (segment (start 79.1845 40.386) (end 79.1845 40.90416) (width 0.127) (layer Front) (net 19) (tstamp 59AF2BED)) - (segment (start 79.1845 40.90416) (end 79.45882 41.17848) (width 0.127) (layer Front) (net 19) (tstamp 59AF2BEF)) - (segment (start 79.39786 41.17086) (end 78.867 40.64) (width 0.127) (layer Back) (net 19) (tstamp 59AF2BC1)) - (segment (start 78.867 40.64) (end 78.867 40.0685) (width 0.127) (layer Back) (net 19) (tstamp 59AF2BC6)) - (segment (start 75.34656 47.6631) (end 75.34656 47.33544) (width 0.127) (layer Back) (net 19) (status 10)) - (segment (start 73.279 49.33442) (end 73.279 49.149) (width 0.127) (layer Back) (net 19)) - (segment (start 73.279 49.149) (end 73.72858 48.69942) (width 0.127) (layer Back) (net 19) (tstamp 59AEE912)) - (segment (start 73.72858 48.69942) (end 74.295 48.69942) (width 0.127) (layer Back) (net 19) (tstamp 59AEE913)) - (segment (start 72.33158 48.895) (end 72.33158 48.96358) (width 0.127) (layer Back) (net 19)) - (segment (start 72.33158 48.96358) (end 72.70242 49.33442) (width 0.127) (layer Back) (net 19) (tstamp 59AEE818)) - (segment (start 72.70242 49.33442) (end 73.279 49.33442) (width 0.127) (layer Back) (net 19) (tstamp 59AEE819)) - (segment (start 68.3006 45.60062) (end 68.3006 44.9834) (width 0.127) (layer Back) (net 19)) - (segment (start 68.326 44.958) (end 69.1515 45.7835) (width 0.127) (layer Front) (net 19) (tstamp 59AEE680) (status 20)) - (via (at 68.326 44.958) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) - (segment (start 68.3006 44.9834) (end 68.326 44.958) (width 0.127) (layer Back) (net 19) (tstamp 59AEE67A)) - (segment (start 69.1515 45.7835) (end 69.1515 45.9486) (width 0.127) (layer Front) (net 19) (tstamp 59AEE681) (status 30)) - (segment (start 69.1515 48.64862) (end 69.1515 45.9486) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 69.1515 47.8155) (end 69.1515 48.64862) (width 0.127) (layer Front) (net 19) (tstamp 59AEE64C) (status 30)) - (segment (start 69.1515 45.9486) (end 69.1515 43.55084) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 69.1515 40.85082) (end 69.1515 43.55084) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 70.50024 40.87622) (end 70.485 40.87622) (width 0.127) (layer Front) (net 19)) - (segment (start 70.485 40.87622) (end 69.1769 40.87622) (width 0.127) (layer Front) (net 19) (tstamp 59AFE0E4) (status 20)) - (segment (start 69.1769 40.87622) (end 69.1515 40.85082) (width 0.127) (layer Front) (net 19) (tstamp 59AEE58D) (status 30)) - (segment (start 69.1515 43.7515) (end 69.1515 43.55084) (width 0.127) (layer Front) (net 19) (tstamp 59AEE538) (status 30)) - (segment (start 69.1515 48.64862) (end 70.9041 48.64862) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 70.9041 48.64862) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 19) (tstamp 596374DA) (status 30)) - (segment (start 69.1515 48.7045) (end 69.1515 48.64862) (width 0.127) (layer Front) (net 19) (tstamp 596374AF) (status 30)) - (segment (start 71.43242 50.165) (end 70.66788 50.165) (width 0.127) (layer Front) (net 19)) - (segment (start 70.66788 50.165) (end 69.1515 48.64862) (width 0.127) (layer Front) (net 19) (tstamp 5963748B) (status 20)) - (segment (start 71.43242 50.165) (end 71.43242 49.97958) (width 0.127) (layer Front) (net 19)) - (segment (start 71.43242 49.97958) (end 71.882 49.53) (width 0.127) (layer Front) (net 19) (tstamp 59637458)) - (via (at 71.882 49.53) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) - (segment (start 71.882 49.53) (end 72.33158 49.08042) (width 0.127) (layer Back) (net 19) (tstamp 5963745B)) - (segment (start 72.33158 49.08042) (end 72.33158 48.895) (width 0.127) (layer Back) (net 19) (tstamp 5963745C)) - (segment (start 70.9041 48.64862) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 71.80072 47.85106) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 71.80072 47.752) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 71.80072 46.0502) (end 71.80072 47.752) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 76.14158 50.165) (end 76.14158 48.768) (width 0.127) (layer Back) (net 19)) - (segment (start 76.14158 48.768) (end 76.14158 48.57242) (width 0.127) (layer Back) (net 19)) - (segment (start 74.295 48.69942) (end 74.295 47.7139) (width 0.127) (layer Back) (net 19) (status 20)) - (segment (start 74.295 47.7139) (end 74.3458 47.6631) (width 0.127) (layer Back) (net 19) (tstamp 5962A6E3) (status 30)) - (segment (start 72.33158 48.895) (end 72.33158 47.67834) (width 0.127) (layer Back) (net 19) (status 20)) - (segment (start 72.33158 47.67834) (end 72.34682 47.6631) (width 0.127) (layer Back) (net 19) (tstamp 5962A5B2) (status 30)) - (segment (start 71.95312 41.39946) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 73.88098 41.39946) (end 71.95312 41.39946) (width 0.127) (layer Front) (net 19) (status 20)) - (segment (start 70.3453 40.01262) (end 70.3453 40.64) (width 0.127) (layer Back) (net 19) (status 10)) - (segment (start 72.4535 40.89908) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 68.6054 47.09668) (end 68.58254 47.11954) (width 0.127) (layer Back) (net 19)) - (segment (start 68.58254 47.11954) (end 68.3006 47.40148) (width 0.127) (layer Back) (net 19) (tstamp 59B04222)) - (segment (start 70.3453 40.72128) (end 70.50024 40.87622) (width 0.127) (layer Back) (net 19)) - (segment (start 71.27494 41.65092) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 70.50024 40.87622) (end 71.27494 41.65092) (width 0.127) (layer Front) (net 19) (status 20)) - (via (at 70.50024 40.87622) (size 0.44958) (layers Front Back) (net 19)) - (segment (start 69.9516 41.65092) (end 69.1515 40.85082) (width 0.127) (layer Front) (net 19) (status 30)) - (segment (start 69.2404 45.5676) (end 69.24548 45.56252) (width 0.127) (layer Back) (net 19) (status 30)) - (segment (start 69.77126 46.5963) (end 69.73824 46.56328) (width 0.127) (layer Back) (net 20)) - (segment (start 70.89902 47.09922) (end 69.97446 47.09922) (width 0.127) (layer Back) (net 20)) - (segment (start 69.97446 47.09922) (end 69.8246 46.94936) (width 0.127) (layer Back) (net 20)) - (segment (start 69.8246 46.64964) (end 69.77126 46.5963) (width 0.127) (layer Back) (net 20)) - (segment (start 69.8246 46.94936) (end 69.8246 46.64964) (width 0.127) (layer Back) (net 20)) - (segment (start 69.73824 46.56328) (end 69.24548 46.56328) (width 0.127) (layer Back) (net 20) (tstamp 59B04231)) - (segment (start 68.3006 46.49978) (end 68.3641 46.56328) (width 0.127) (layer Back) (net 20)) - (segment (start 68.3641 46.56328) (end 69.24548 46.56328) (width 0.127) (layer Back) (net 20) (tstamp 59B0422D)) - (segment (start 74.9046 47.2186) (end 74.84618 47.27702) (width 0.127) (layer Back) (net 20)) - (segment (start 74.84618 47.27702) (end 74.84618 47.6631) (width 0.127) (layer Back) (net 20) (tstamp 59B0421E)) - (segment (start 76.29906 46.20006) (end 76.38542 46.1137) (width 0.127) (layer Back) (net 20)) - (segment (start 74.9046 47.2186) (end 75.57262 46.55058) (width 0.127) (layer Back) (net 20) (tstamp 59B0421C)) - (segment (start 75.94854 46.55058) (end 76.29906 46.20006) (width 0.127) (layer Back) (net 20)) - (segment (start 74.84618 47.6631) (end 74.84618 47.27702) (width 0.127) (layer Back) (net 20) (status 10)) - (segment (start 75.57262 46.55058) (end 75.94854 46.55058) (width 0.127) (layer Back) (net 20)) - (segment (start 76.38542 46.1137) (end 76.94676 46.1137) (width 0.127) (layer Back) (net 20) (tstamp 59B04219)) - (segment (start 76.94676 46.1137) (end 76.93406 46.101) (width 0.127) (layer Back) (net 20)) - (segment (start 76.93406 46.101) (end 76.3016 46.101) (width 0.127) (layer Back) (net 20) (tstamp 59B04214)) - (segment (start 76.1746 41.2242) (end 76.28636 41.11244) (width 0.127) (layer Back) (net 20)) - (segment (start 76.28636 41.11244) (end 76.94676 41.11244) (width 0.127) (layer Back) (net 20) (tstamp 59B0420F)) - (segment (start 68.38442 38.862) (end 68.38442 38.29558) (width 0.127) (layer Front) (net 20)) - (via (at 70.104 38.227) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) - (segment (start 68.453 38.227) (end 70.104 38.227) (width 0.127) (layer Front) (net 20) (tstamp 59B03D2E)) - (segment (start 68.38442 38.29558) (end 68.453 38.227) (width 0.127) (layer Front) (net 20) (tstamp 59B03D28)) - (segment (start 68.38442 38.862) (end 68.38442 38.54958) (width 0.127) (layer Front) (net 20)) - (segment (start 69.28358 38.608) (end 69.66458 38.227) (width 0.127) (layer Back) (net 20)) - (segment (start 69.66458 38.227) (end 70.104 38.227) (width 0.127) (layer Back) (net 20) (tstamp 59B03D09)) - (segment (start 71.56958 38.862) (end 71.56958 38.42258) (width 0.127) (layer Back) (net 20)) - (segment (start 71.56958 38.42258) (end 71.247 38.1) (width 0.127) (layer Back) (net 20) (tstamp 59B03CFE)) - (segment (start 74.86142 38.481) (end 74.86142 38.41242) (width 0.127) (layer Back) (net 20)) - (segment (start 74.86142 38.41242) (end 74.549 38.1) (width 0.127) (layer Back) (net 20) (tstamp 59B03CF9)) - (segment (start 70.231 38.1) (end 70.104 38.227) (width 0.127) (layer Back) (net 20) (tstamp 59B03CFB)) - (segment (start 71.247 38.1) (end 70.231 38.1) (width 0.127) (layer Back) (net 20) (tstamp 59B03D01)) - (segment (start 74.549 38.1) (end 71.247 38.1) (width 0.127) (layer Back) (net 20) (tstamp 59B03CFA)) - (segment (start 74.295 50.292) (end 75.11542 50.292) (width 0.127) (layer Back) (net 20)) - (segment (start 75.11542 50.292) (end 75.24242 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59B010F1)) - (segment (start 69.24548 40.61206) (end 69.28358 40.57396) (width 0.127) (layer Back) (net 20) (status 30)) - (segment (start 69.28358 40.57396) (end 69.28358 38.608) (width 0.127) (layer Back) (net 20) (tstamp 59B01072) (status 10)) - (segment (start 70.104 38.227) (end 70.104 38.227) (width 0.127) (layer Back) (net 20) (tstamp 59B00F4F)) - (segment (start 74.84618 40.01262) (end 74.84618 38.49624) (width 0.127) (layer Back) (net 20) (status 10)) - (segment (start 74.84618 38.49624) (end 74.86142 38.481) (width 0.127) (layer Back) (net 20) (tstamp 59B00DD4)) - (segment (start 77.343 39.31158) (end 77.84084 39.31158) (width 0.127) (layer Back) (net 20)) - (segment (start 77.84084 39.31158) (end 78.74 38.41242) (width 0.127) (layer Back) (net 20) (tstamp 59B00234)) - (segment (start 79.883 38.92042) (end 79.375 38.41242) (width 0.127) (layer Back) (net 20)) - (segment (start 79.375 38.41242) (end 78.74 38.41242) (width 0.127) (layer Back) (net 20) (tstamp 59B0021E)) - (segment (start 76.42098 40.44696) (end 76.42098 39.27602) (width 0.127) (layer Front) (net 20)) - (via (at 76.454 39.243) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) - (segment (start 76.42098 39.27602) (end 76.454 39.243) (width 0.127) (layer Front) (net 20) (tstamp 59B0020D)) - (segment (start 76.454 39.243) (end 76.454 39.19982) (width 0.127) (layer Back) (net 20) (tstamp 59B0020F)) - (segment (start 76.454 39.19982) (end 76.454 39.243) (width 0.127) (layer Back) (net 20) (tstamp 59B00210)) - (segment (start 76.454 39.243) (end 76.454 39.19982) (width 0.127) (layer Back) (net 20) (tstamp 59B00212)) - (segment (start 75.30084 39.19982) (end 76.454 39.19982) (width 0.127) (layer Back) (net 20)) - (segment (start 76.454 39.19982) (end 77.23124 39.19982) (width 0.127) (layer Back) (net 20) (tstamp 59B00213)) - (segment (start 77.23124 39.19982) (end 77.343 39.31158) (width 0.127) (layer Back) (net 20) (tstamp 59B00209)) - (segment (start 79.82458 48.26) (end 79.82458 49.96942) (width 0.127) (layer Front) (net 20)) - (segment (start 79.75092 50.04308) (end 78.74 50.04308) (width 0.127) (layer Back) (net 20) (tstamp 59B000A0)) - (segment (start 79.756 50.038) (end 79.75092 50.04308) (width 0.127) (layer Back) (net 20) (tstamp 59B0009F)) - (via (at 79.756 50.038) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) - (segment (start 79.82458 49.96942) (end 79.756 50.038) (width 0.127) (layer Front) (net 20) (tstamp 59B0009B)) - (segment (start 77.4065 49.53508) (end 77.4065 50.165) (width 0.127) (layer Back) (net 20)) - (segment (start 78.74 50.04308) (end 78.22692 50.04308) (width 0.127) (layer Back) (net 20)) - (segment (start 78.105 50.165) (end 77.4065 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59AF2DC2)) - (segment (start 78.22692 50.04308) (end 78.105 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59AF2DC1)) - (segment (start 77.089 50.292) (end 74.295 50.292) (width 0.127) (layer Front) (net 20)) - (via (at 77.4065 50.165) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) - (segment (start 77.4065 50.165) (end 77.089 50.292) (width 0.127) (layer Front) (net 20) (tstamp 59AF2CD0)) - (segment (start 76.25334 41.14546) (end 76.1746 41.2242) (width 0.127) (layer Back) (net 20) (tstamp 59AEED10)) - (segment (start 76.1746 40.767) (end 76.42098 40.52062) (width 0.127) (layer Front) (net 20) (tstamp 59AEED07)) - (segment (start 76.1746 40.894) (end 76.1746 40.767) (width 0.127) (layer Front) (net 20) (tstamp 59AEED04)) - (segment (start 76.1746 41.2242) (end 76.1746 40.894) (width 0.127) (layer Front) (net 20) (tstamp 59AEED03)) - (via (at 76.1746 41.2242) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) - (segment (start 76.1746 45.974) (end 76.1746 41.2242) (width 0.127) (layer Back) (net 20) (tstamp 59AEECF6)) - (segment (start 76.34732 46.14672) (end 76.3016 46.101) (width 0.127) (layer Back) (net 20) (tstamp 59AEECF3)) - (segment (start 76.3016 46.101) (end 76.1746 45.974) (width 0.127) (layer Back) (net 20) (tstamp 59B04217)) - (segment (start 76.42098 40.52062) (end 76.42098 40.44696) (width 0.127) (layer Front) (net 20) (tstamp 59AEED0A)) - (segment (start 72.8472 47.6631) (end 72.8472 48.3362) (width 0.127) (layer Back) (net 20) (status 10)) - (segment (start 75.24242 49.46142) (end 75.24242 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9D9)) - (segment (start 75.184 49.403) (end 75.24242 49.46142) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9D8)) - (via (at 75.184 49.403) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) - (segment (start 73.914 49.403) (end 75.184 49.403) (width 0.127) (layer Front) (net 20) (tstamp 59AEE9D5)) - (segment (start 73.152 48.641) (end 73.914 49.403) (width 0.127) (layer Front) (net 20) (tstamp 59AEE9D4)) - (via (at 73.152 48.641) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) - (segment (start 72.8472 48.3362) (end 73.152 48.641) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9CE)) - (segment (start 75.311 48.768) (end 75.692 49.149) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9C0)) - (segment (start 75.692 49.911) (end 75.438 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9C5)) - (segment (start 75.692 49.149) (end 75.692 49.911) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9C3)) - (segment (start 75.438 50.165) (end 75.24242 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9C7)) - (segment (start 74.295 50.292) (end 72.45858 50.292) (width 0.127) (layer Front) (net 20)) - (segment (start 72.45858 50.292) (end 72.33158 50.165) (width 0.127) (layer Front) (net 20) (tstamp 59AEE927)) - (segment (start 73.279 50.23358) (end 74.23658 50.23358) (width 0.127) (layer Back) (net 20)) - (segment (start 74.23658 50.23358) (end 74.295 50.292) (width 0.127) (layer Back) (net 20) (tstamp 59AEE89C)) - (via (at 74.295 50.292) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) - (segment (start 74.295 49.911) (end 74.295 49.59858) (width 0.127) (layer Back) (net 20) (tstamp 5962A875)) - (segment (start 74.295 50.292) (end 74.295 49.911) (width 0.127) (layer Back) (net 20) (tstamp 5962A874)) - (segment (start 75.24242 48.69942) (end 74.84618 48.30318) (width 0.127) (layer Back) (net 20) (tstamp 5962A774)) - (segment (start 74.84618 48.30318) (end 74.84618 47.6631) (width 0.127) (layer Back) (net 20) (tstamp 5962A775) (status 20)) - (segment (start 75.0443 39.19982) (end 74.84618 39.39794) (width 0.127) (layer Back) (net 20)) - (segment (start 76.42098 40.44696) (end 76.42098 40.31996) (width 0.127) (layer Front) (net 20)) - (segment (start 70.84568 47.15256) (end 70.84568 47.6631) (width 0.127) (layer Back) (net 20) (status 20)) - (segment (start 69.215 40.64254) (end 69.24548 40.61206) (width 0.127) (layer Back) (net 20) (status 30)) - (segment (start 71.00062 47.09922) (end 70.89902 47.09922) (width 0.127) (layer Back) (net 20)) - (segment (start 70.89902 47.09922) (end 70.84568 47.15256) (width 0.127) (layer Back) (net 20)) - (segment (start 72.74814 47.09922) (end 71.00062 47.09922) (width 0.127) (layer Back) (net 20)) - (segment (start 72.8472 47.6631) (end 72.8472 47.19828) (width 0.127) (layer Back) (net 20) (status 10)) - (segment (start 72.8472 47.19828) (end 72.74814 47.09922) (width 0.127) (layer Back) (net 20)) - (segment (start 74.84618 39.39794) (end 74.84618 40.01262) (width 0.127) (layer Back) (net 20) (status 20)) - (segment (start 75.30084 39.19982) (end 75.0443 39.19982) (width 0.127) (layer Back) (net 20)) - (segment (start 76.42098 42.35196) (end 76.42098 41.94302) (width 0.127) (layer Front) (net 21)) - (segment (start 78.55458 39.55542) (end 79.18958 38.989) (width 0.127) (layer Front) (net 21) (tstamp 59AFFBD7) (status 20)) - (segment (start 78.232 39.878) (end 78.55458 39.55542) (width 0.127) (layer Front) (net 21) (tstamp 59AFFBD6)) - (segment (start 78.232 40.132) (end 78.232 39.878) (width 0.127) (layer Front) (net 21) (tstamp 59AFFBD4)) - (segment (start 76.42098 41.94302) (end 78.232 40.132) (width 0.127) (layer Front) (net 21) (tstamp 59AFFBD1)) - (segment (start 72.898 38.735) (end 72.39 39.243) (width 0.127) (layer Back) (net 22) (tstamp 59B03D9B)) - (via (at 72.39 39.243) (size 0.44958) (drill 0.20066) (layers Front Back) (net 22)) - (segment (start 72.39 39.243) (end 71.882 38.735) (width 0.127) (layer Front) (net 22) (tstamp 59B03D9D)) - -) diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.lib b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.lib deleted file mode 100644 index 5c46810..0000000 --- a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.lib +++ /dev/null @@ -1,105 +0,0 @@ -EESchema-LIBRARY Version 2.3 Date: Sun 03 Jun 2012 03:43:12 AM CEST -#encoding utf-8 -# -# CY7C68013A-56 -# -DEF CY7C68013A-56 IC 0 40 Y Y 1 F N -F0 "IC" 0 -1700 60 H V C CNN -F1 "CY7C68013A-56" 0 0 60 H V C CNN -DRAW -S -1100 1400 1000 -1300 0 1 0 f -X RDY0/SLRD 1 -1400 1300 300 R 50 50 1 1 I -X RDY1/SLWR 2 -1400 1200 300 R 50 50 1 1 I -X AVCC 3 200 1700 300 D 50 50 1 1 W -X XTALOUT 4 -1400 1000 300 R 50 50 1 1 O -X XTALIN 5 -1400 900 300 R 50 50 1 1 I -X AGND 6 200 -1500 300 U 50 50 1 1 W -X AVCC 7 300 1700 300 D 50 50 1 1 W -X DPLUS 8 -1400 700 300 R 50 50 1 1 B -X DMINUS 9 -1400 600 300 R 50 50 1 1 B -X AGND 10 300 -1500 300 U 50 50 1 1 W -X PB2/FD2 20 1300 200 300 L 50 50 1 1 B -X CTL1/FLAGB 30 -1400 -300 300 R 50 50 1 1 O -X PA7/FLAGD/~SLCS 40 1300 600 300 L 50 50 1 1 B -X PD5/FD13 50 1300 -1000 300 L 50 50 1 1 B -X VCC 11 -500 1700 300 D 50 50 1 1 W -X PB3/FD3 21 1300 100 300 L 50 50 1 1 B -X CTL2/FLAGC 31 -1400 -400 300 R 50 50 1 1 O -X GND 41 -200 -1500 300 U 50 50 1 1 W -X PD6/FD14 51 1300 -1100 300 L 50 50 1 1 B -X GND 12 -500 -1500 300 U 50 50 1 1 W -X PB4/FD4 22 1300 0 300 L 50 50 1 1 B -X VCC 32 -200 1700 300 D 50 50 1 1 W -X ~RESET 42 -1400 -600 300 R 50 50 1 1 I I -X PD7/FD15 52 1300 -1200 300 L 50 50 1 1 B -X IFCLK 13 -1400 400 300 R 50 50 1 1 B C -X PB5/FD5 23 1300 -100 300 L 50 50 1 1 B -X PA0/~INT0 33 1300 1300 300 L 50 50 1 1 B -X VCC 43 -100 1700 300 D 50 50 1 1 W -X GND 53 -100 -1500 300 U 50 50 1 1 W -X RESERVED 14 -1400 -1000 300 R 50 50 1 1 I -X PB6/FD6 24 1300 -200 300 L 50 50 1 1 B -X PA1/~INT1 34 1300 1200 300 L 50 50 1 1 B -X WAKEUP 44 -1400 -800 300 R 50 50 1 1 I -X CLKOUT 54 -1400 300 300 R 50 50 1 1 O C -X SCL 15 -1400 100 300 R 50 50 1 1 O -X PB7/FD7 25 1300 -300 300 L 50 50 1 1 B -X PA2/SLOE 35 1300 1100 300 L 50 50 1 1 B -X PD0/FD8 45 1300 -500 300 L 50 50 1 1 B -X VCC 55 0 1700 300 D 50 50 1 1 W -X SDA 16 -1400 0 300 R 50 50 1 1 B -X GND 26 -400 -1500 300 U 50 50 1 1 W -X PA3/WU2 36 1300 1000 300 L 50 50 1 1 B -X PD1/FD9 46 1300 -600 300 L 50 50 1 1 B -X GND 56 0 -1500 300 U 50 50 1 1 W -X VCC 17 -400 1700 300 D 50 50 1 1 W -X VCC 27 -300 1700 300 D 50 50 1 1 W -X PA4/FIFOADR0 37 1300 900 300 L 50 50 1 1 B -X PD2/FD10 47 1300 -700 300 L 50 50 1 1 B -X PB0/FD0 18 1300 400 300 L 50 50 1 1 B -X GND 28 -300 -1500 300 U 50 50 1 1 W -X PA5/FIFOADR1 38 1300 800 300 L 50 50 1 1 B -X PD3/FD11 48 1300 -800 300 L 50 50 1 1 B -X PB1/FD1 19 1300 300 300 L 50 50 1 1 B -X CTL0/FLAGA 29 -1400 -200 300 R 50 50 1 1 O -X PA6/PKTEND 39 1300 700 300 L 50 50 1 1 B -X PD4/FD12 49 1300 -900 300 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# MIC5319 -# -DEF MIC5319 U 0 40 Y Y 1 F N -F0 "U" 200 -300 60 H V C CNN -F1 "MIC5319" 0 250 60 H V C CNN -F2 "~" 450 -400 60 H V C CNN -DRAW -S -250 -200 300 150 0 1 0 f -X VIN 1 -550 50 300 R 50 50 1 1 W -X GND 2 0 -500 300 U 50 50 1 1 W -X EN 3 -550 -100 300 R 50 50 1 1 I -X BYP 4 600 -100 300 L 50 50 1 1 P -X VOUT 5 600 50 300 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# MICRO-USB-B-SMD -# -DEF MICRO-USB-B-SMD U 0 40 Y Y 1 F N -F0 "U" 100 -450 60 H V C CNN -F1 "MICRO-USB-B-SMD" -150 350 60 H V C CNN -DRAW -S -500 300 200 -300 0 1 0 f -X VBUS 1 500 200 300 L 50 50 1 1 w -X DM 2 500 100 300 L 50 50 1 1 B -X DP 3 500 0 300 L 50 50 1 1 B -X ID 4 500 -100 300 L 50 50 1 1 O -X GND 5 500 -200 300 L 50 50 1 1 W -X SH1 6 -100 -600 300 U 50 50 1 1 P -X SH2 7 -200 -600 300 U 50 50 1 1 P -X SH3 8 -300 -600 300 U 50 50 1 1 P -X SH4 9 -400 -600 300 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -#End Library diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.net b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.net deleted file mode 100644 index 81af4cd..0000000 --- a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.net +++ /dev/null @@ -1,515 +0,0 @@ -(export (version D) - (design - (source /home/uwe/code/SIGROK/fx2grok/hardware/fx2grok-tiny/0.1/fx2grok-tiny.sch) - (date "Sun 09 Jul 2017 11:41:00 PM CEST") - (tool "Eeschema 4.0.5+dfsg1-4") - (sheet (number 1) (name /) (tstamps /) - (title_block - (title fx2grok) - (company http://www.sigrok.org/wiki/Fx2grok) - (rev 1) - (date "26 mar 2013") - (source fx2grok-tiny.sch) - (comment (number 1) (value "Open Hardware, CC-BY-SA 3.0")) - (comment (number 2) (value "")) - (comment (number 3) (value "")) - (comment (number 4) (value ""))))) - (components - (comp (ref R3) - (value 2K7) - (footprint SM0402) - (libsource (lib device) (part R)) - (sheetpath (names /) (tstamps /)) - (tstamp 5151D52F)) - (comp (ref C1) - (value 1uF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCBEB98)) - (comp (ref R5) - (value 2K7) - (footprint SM0402) - (libsource (lib device) (part R)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCAAEBE)) - (comp (ref D1) - (value LED1) - (footprint SM0402) - (libsource (lib device) (part LED)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCAAEBC)) - (comp (ref C15) - (value 100nF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCAA86B)) - (comp (ref C16) - (value 2.2uF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCAA863)) - (comp (ref C14) - (value 1uF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCAA85F)) - (comp (ref U2) - (value MIC5319) - (footprint SOT23-5) - (libsource (lib fx2grok-tiny) (part MIC5319)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCAA78E)) - (comp (ref U1) - (value MICRO-USB-B-SMD) - (footprint MICRO-USB-B-SMD) - (libsource (lib fx2grok-tiny) (part MICRO-USB-B-SMD)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCAA5E6)) - (comp (ref R2) - (value 2K7) - (footprint SM0402) - (libsource (lib device) (part R)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCAA3E6)) - (comp (ref C11) - (value 100nF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA9C86)) - (comp (ref C8) - (value 100nF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA9C7E)) - (comp (ref C6) - (value 2.2uF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA9C79)) - (comp (ref C3) - (value 2.2uF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA9C5D)) - (comp (ref C13) - (value 100nF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA97A0)) - (comp (ref C12) - (value 100nF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA9799)) - (comp (ref C7) - (value 100nF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA9793)) - (comp (ref C5) - (value 100nF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA9777)) - (comp (ref C10) - (value 100nF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA9724)) - (comp (ref C2) - (value 100nF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA96AB)) - (comp (ref R1) - (value 100K) - (footprint SM0402) - (libsource (lib device) (part R)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA95B2)) - (comp (ref R4) - (value 2K7) - (footprint SM0402) - (libsource (lib device) (part R)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FCA93BD)) - (comp (ref C4) - (value 12pF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FC8F6F8)) - (comp (ref C9) - (value 12pF) - (footprint SM0402) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FC8F6F5)) - (comp (ref IC1) - (value CY7C68013A-56) - (footprint QFN56) - (libsource (lib fx2grok-tiny) (part CY7C68013A-56)) - (sheetpath (names /) (tstamps /)) - (tstamp 4FC8F245)) - (comp (ref P1) - (value CONN_01X05) - (footprint CONN-5x1-SMD-127MM) - (libsource (lib conn) (part CONN_01X05)) - (sheetpath (names /) (tstamps /)) - (tstamp 596377A2)) - (comp (ref P2) - (value CONN_01X05) - (footprint CONN-5x1-SMD-127MM) - (libsource (lib conn) (part CONN_01X05)) - (sheetpath (names /) (tstamps /)) - (tstamp 59637927)) - (comp (ref Y1) - (value 24MHz) - (footprint ABM11) - (libsource (lib device) (part Crystal_GND24)) - (sheetpath (names /) (tstamps /)) - (tstamp 5962A5CC))) - (libparts - (libpart (lib device) (part C) - (description "Unpolarized capacitor") - (footprints - (fp C?) - (fp C_????_*) - (fp C_????) - (fp SMD*_c) - (fp Capacitor*)) - (fields - (field (name Reference) C) - (field (name Value) C)) - (pins - (pin (num 1) (name ~) (type passive)) - (pin (num 2) (name ~) (type passive)))) - (libpart (lib conn) (part CONN_01X05) - (description "Connector, single row, 01x05") - (footprints - (fp Pin_Header_Straight_1X05) - (fp Pin_Header_Angled_1X05) - (fp Socket_Strip_Straight_1X05) - (fp Socket_Strip_Angled_1X05)) - (fields - (field (name Reference) P) - (field (name Value) CONN_01X05)) - (pins - (pin (num 1) (name P1) (type passive)) - (pin (num 2) (name P2) (type passive)) - (pin (num 3) (name P3) (type passive)) - (pin (num 4) (name P4) (type passive)) - (pin (num 5) (name P5) (type passive)))) - (libpart (lib fx2grok-tiny) (part CY7C68013A-56) - (fields - (field (name Reference) IC) - (field (name Value) CY7C68013A-56)) - (pins - (pin (num 1) (name RDY0/SLRD) (type input)) - (pin (num 2) (name RDY1/SLWR) (type input)) - (pin (num 3) (name AVCC) (type power_in)) - (pin (num 4) (name XTALOUT) (type output)) - (pin (num 5) (name XTALIN) (type input)) - (pin (num 6) (name AGND) (type power_in)) - (pin (num 7) (name AVCC) (type power_in)) - (pin (num 8) (name DPLUS) (type BiDi)) - (pin (num 9) (name DMINUS) (type BiDi)) - (pin (num 10) (name AGND) (type power_in)) - (pin (num 11) (name VCC) (type power_in)) - (pin (num 12) (name GND) (type power_in)) - (pin (num 13) (name IFCLK) (type BiDi)) - (pin (num 14) (name RESERVED) (type input)) - (pin (num 15) (name SCL) (type output)) - (pin (num 16) (name SDA) (type BiDi)) - (pin (num 17) (name VCC) (type power_in)) - (pin (num 18) (name PB0/FD0) (type BiDi)) - (pin (num 19) (name PB1/FD1) (type BiDi)) - (pin (num 20) (name PB2/FD2) (type BiDi)) - (pin (num 21) (name PB3/FD3) (type BiDi)) - (pin (num 22) (name PB4/FD4) (type BiDi)) - (pin (num 23) (name PB5/FD5) (type BiDi)) - (pin (num 24) (name PB6/FD6) (type BiDi)) - (pin (num 25) (name PB7/FD7) (type BiDi)) - (pin (num 26) (name GND) (type power_in)) - (pin (num 27) (name VCC) (type power_in)) - (pin (num 28) (name GND) (type power_in)) - (pin (num 29) (name CTL0/FLAGA) (type output)) - (pin (num 30) (name CTL1/FLAGB) (type output)) - (pin (num 31) (name CTL2/FLAGC) (type output)) - (pin (num 32) (name VCC) (type power_in)) - (pin (num 33) (name PA0/~INT0) (type BiDi)) - (pin (num 34) (name PA1/~INT1) (type BiDi)) - (pin (num 35) (name PA2/SLOE) (type BiDi)) - (pin (num 36) (name PA3/WU2) (type BiDi)) - (pin (num 37) (name PA4/FIFOADR0) (type BiDi)) - (pin (num 38) (name PA5/FIFOADR1) (type BiDi)) - (pin (num 39) (name PA6/PKTEND) (type BiDi)) - (pin (num 40) (name PA7/FLAGD/~SLCS) (type BiDi)) - (pin (num 41) (name GND) (type power_in)) - (pin (num 42) (name ~RESET) (type input)) - (pin (num 43) (name VCC) (type power_in)) - (pin (num 44) (name WAKEUP) (type input)) - (pin (num 45) (name PD0/FD8) (type BiDi)) - (pin (num 46) (name PD1/FD9) (type BiDi)) - (pin (num 47) (name PD2/FD10) (type BiDi)) - (pin (num 48) (name PD3/FD11) (type BiDi)) - (pin (num 49) (name PD4/FD12) (type BiDi)) - (pin (num 50) (name PD5/FD13) (type BiDi)) - (pin (num 51) (name PD6/FD14) (type BiDi)) - (pin (num 52) (name PD7/FD15) (type BiDi)) - (pin (num 53) (name GND) (type power_in)) - (pin (num 54) (name CLKOUT) (type output)) - (pin (num 55) (name VCC) (type power_in)) - (pin (num 56) (name GND) (type power_in)))) - (libpart (lib device) (part Crystal_GND24) - (description "Four pin crystal (GND on pins 2 and 4), e.g. in SMD package") - (footprints - (fp Crystal*)) - (fields - (field (name Reference) Y) - (field (name Value) Crystal_GND24)) - (pins - (pin (num 1) (name 1) (type passive)) - (pin (num 2) (name 2) (type passive)) - (pin (num 3) (name 3) (type passive)) - (pin (num 4) (name 4) (type passive)))) - (libpart (lib device) (part LED) - (description "LED generic") - (footprints - (fp LED*)) - (fields - (field (name Reference) D) - (field (name Value) LED)) - (pins - (pin (num 1) (name K) (type passive)) - (pin (num 2) (name A) (type passive)))) - (libpart (lib fx2grok-tiny) (part MIC5319) - (fields - (field (name Reference) U) - (field (name Value) MIC5319)) - (pins - (pin (num 1) (name VIN) (type power_in)) - (pin (num 2) (name GND) (type power_in)) - (pin (num 3) (name EN) (type input)) - (pin (num 4) (name BYP) (type passive)) - (pin (num 5) (name VOUT) (type power_out)))) - (libpart (lib fx2grok-tiny) (part MICRO-USB-B-SMD) - (fields - (field (name Reference) U) - (field (name Value) MICRO-USB-B-SMD)) - (pins - (pin (num 1) (name VBUS) (type power_out)) - (pin (num 2) (name DM) (type BiDi)) - (pin (num 3) (name DP) (type BiDi)) - (pin (num 4) (name ID) (type output)) - (pin (num 5) (name GND) (type power_in)) - (pin (num 6) (name SH1) (type passive)) - (pin (num 7) (name SH2) (type passive)) - (pin (num 8) (name SH3) (type passive)) - (pin (num 9) (name SH4) (type passive)))) - (libpart (lib device) (part R) - (description Resistor) - (footprints - (fp R_*) - (fp Resistor_*)) - (fields - (field (name Reference) R) - (field (name Value) R)) - (pins - (pin (num 1) (name ~) (type passive)) - (pin (num 2) (name ~) (type passive))))) - (libraries - (library (logical device) - (uri /usr/share/kicad/library/device.lib)) - (library (logical conn) - (uri /usr/share/kicad/library/conn.lib)) - (library (logical fx2grok-tiny) - (uri fx2grok-tiny.lib))) - (nets - (net (code 1) (name /SDA) - (node (ref R4) (pin 1)) - (node (ref IC1) (pin 16))) - (net (code 2) (name /XTALIN) - (node (ref Y1) (pin 1)) - (node (ref C4) (pin 1)) - (node (ref IC1) (pin 5))) - (net (code 3) (name /DP) - (node (ref IC1) (pin 8)) - (node (ref U1) (pin 3))) - (net (code 4) (name /SCL) - (node (ref R3) (pin 1)) - (node (ref IC1) (pin 15))) - (net (code 5) (name +3V3) - (node (ref C13) (pin 1)) - (node (ref C7) (pin 1)) - (node (ref C12) (pin 1)) - (node (ref IC1) (pin 43)) - (node (ref IC1) (pin 3)) - (node (ref IC1) (pin 27)) - (node (ref IC1) (pin 17)) - (node (ref IC1) (pin 55)) - (node (ref IC1) (pin 7)) - (node (ref R1) (pin 1)) - (node (ref C6) (pin 1)) - (node (ref C8) (pin 1)) - (node (ref C11) (pin 1)) - (node (ref IC1) (pin 11)) - (node (ref R2) (pin 1)) - (node (ref IC1) (pin 32)) - (node (ref R4) (pin 2)) - (node (ref C3) (pin 1)) - (node (ref C2) (pin 1)) - (node (ref C10) (pin 1)) - (node (ref C5) (pin 1)) - (node (ref C1) (pin 1)) - (node (ref R3) (pin 2)) - (node (ref U2) (pin 5)) - (node (ref C16) (pin 1))) - (net (code 6) (name /DM) - (node (ref IC1) (pin 9)) - (node (ref U1) (pin 2))) - (net (code 7) (name /XTALOUT) - (node (ref IC1) (pin 4)) - (node (ref Y1) (pin 3)) - (node (ref C9) (pin 1))) - (net (code 8) (name "Net-(C15-Pad1)") - (node (ref U2) (pin 4)) - (node (ref C15) (pin 1))) - (net (code 9) (name /WAKEUP) - (node (ref IC1) (pin 44)) - (node (ref R1) (pin 2))) - (net (code 10) (name /PB2) - (node (ref P1) (pin 2)) - (node (ref IC1) (pin 20))) - (net (code 11) (name /PB6) - (node (ref P1) (pin 4)) - (node (ref IC1) (pin 24))) - (net (code 12) (name /PB1) - (node (ref IC1) (pin 19)) - (node (ref P2) (pin 5))) - (net (code 13) (name /PB5) - (node (ref IC1) (pin 23)) - (node (ref P2) (pin 3))) - (net (code 14) (name /PA0) - (node (ref IC1) (pin 33)) - (node (ref R5) (pin 2))) - (net (code 15) (name /PB0) - (node (ref P1) (pin 1)) - (node (ref IC1) (pin 18))) - (net (code 16) (name /PB4) - (node (ref IC1) (pin 22)) - (node (ref P1) (pin 3))) - (net (code 17) (name "Net-(D1-Pad1)") - (node (ref D1) (pin 1)) - (node (ref R5) (pin 1))) - (net (code 18) (name /PB3) - (node (ref IC1) (pin 21)) - (node (ref P2) (pin 4))) - (net (code 19) (name /PB7) - (node (ref IC1) (pin 25)) - (node (ref P2) (pin 2))) - (net (code 20) (name GND) - (node (ref IC1) (pin 53)) - (node (ref C5) (pin 2)) - (node (ref IC1) (pin 6)) - (node (ref IC1) (pin 14)) - (node (ref C1) (pin 2)) - (node (ref IC1) (pin 10)) - (node (ref U1) (pin 7)) - (node (ref U1) (pin 6)) - (node (ref U1) (pin 5)) - (node (ref U2) (pin 2)) - (node (ref C16) (pin 2)) - (node (ref C15) (pin 2)) - (node (ref D1) (pin 2)) - (node (ref C4) (pin 2)) - (node (ref C14) (pin 2)) - (node (ref C9) (pin 2)) - (node (ref IC1) (pin 28)) - (node (ref IC1) (pin 56)) - (node (ref IC1) (pin 26)) - (node (ref Y1) (pin 4)) - (node (ref Y1) (pin 2)) - (node (ref P2) (pin 1)) - (node (ref P1) (pin 5)) - (node (ref C10) (pin 2)) - (node (ref U1) (pin 9)) - (node (ref C12) (pin 2)) - (node (ref C13) (pin 2)) - (node (ref C6) (pin 2)) - (node (ref C8) (pin 2)) - (node (ref C11) (pin 2)) - (node (ref C7) (pin 2)) - (node (ref C3) (pin 2)) - (node (ref IC1) (pin 41)) - (node (ref IC1) (pin 12)) - (node (ref U1) (pin 8)) - (node (ref C2) (pin 2))) - (net (code 21) (name +5V) - (node (ref C14) (pin 1)) - (node (ref U2) (pin 1)) - (node (ref U2) (pin 3)) - (node (ref U1) (pin 1))) - (net (code 22) (name /RESET#) - (node (ref IC1) (pin 42)) - (node (ref R2) (pin 2))) - (net (code 23) (name "Net-(IC1-Pad1)") - (node (ref IC1) (pin 1))) - (net (code 24) (name "Net-(IC1-Pad2)") - (node (ref IC1) (pin 2))) - (net (code 25) (name "Net-(IC1-Pad48)") - (node (ref IC1) (pin 48))) - (net (code 26) (name "Net-(IC1-Pad47)") - (node (ref IC1) (pin 47))) - (net (code 27) (name "Net-(IC1-Pad34)") - (node (ref IC1) (pin 34))) - (net (code 28) (name "Net-(IC1-Pad35)") - (node (ref IC1) (pin 35))) - (net (code 29) (name "Net-(IC1-Pad36)") - (node (ref IC1) (pin 36))) - (net (code 30) (name "Net-(IC1-Pad37)") - (node (ref IC1) (pin 37))) - (net (code 31) (name "Net-(IC1-Pad38)") - (node (ref IC1) (pin 38))) - (net (code 32) (name "Net-(IC1-Pad39)") - (node (ref IC1) (pin 39))) - (net (code 33) (name "Net-(IC1-Pad40)") - (node (ref IC1) (pin 40))) - (net (code 34) (name "Net-(IC1-Pad46)") - (node (ref IC1) (pin 46))) - (net (code 35) (name "Net-(IC1-Pad54)") - (node (ref IC1) (pin 54))) - (net (code 36) (name "Net-(IC1-Pad13)") - (node (ref IC1) (pin 13))) - (net (code 37) (name "Net-(IC1-Pad29)") - (node (ref IC1) (pin 29))) - (net (code 38) (name "Net-(IC1-Pad45)") - (node (ref IC1) (pin 45))) - (net (code 39) (name "Net-(U1-Pad4)") - (node (ref U1) (pin 4))) - (net (code 40) (name "Net-(IC1-Pad49)") - (node (ref IC1) (pin 49))) - (net (code 41) (name "Net-(IC1-Pad31)") - (node (ref IC1) (pin 31))) - (net (code 42) (name "Net-(IC1-Pad30)") - (node (ref IC1) (pin 30))) - (net (code 43) (name "Net-(IC1-Pad52)") - (node (ref IC1) (pin 52))) - (net (code 44) (name "Net-(IC1-Pad51)") - (node (ref IC1) (pin 51))) - (net (code 45) (name "Net-(IC1-Pad50)") - (node (ref IC1) (pin 50))))) \ No newline at end of file diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/ABM11.kicad_mod b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/ABM11.kicad_mod deleted file mode 100644 index 9f78ea8..0000000 --- a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/ABM11.kicad_mod +++ /dev/null @@ -1,16 +0,0 @@ -(module ABM11 (layer F.Cu) (tedit 4FCBBD24) - (fp_text reference ABM11 (at 0 6.72592) (layer F.SilkS) - (effects (font (thickness 0.3048))) - ) - (fp_text value VAL** (at 0 -4.7498) (layer F.SilkS) - (effects (font (thickness 0.3048))) - ) - (pad 1 smd rect (at -0.65024 0.50038) (size 0.7493 0.65024) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 2 smd rect (at 0.6477 0.50038) (size 0.7493 0.65024) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 3 smd rect (at 0.6477 -0.50038) (size 0.7493 0.65024) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 4 smd rect (at -0.65024 -0.50038) (size 0.7493 0.65024) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) -) diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/CONN-5x1-SMD-127MM.kicad_mod b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/CONN-5x1-SMD-127MM.kicad_mod deleted file mode 100644 index c389dc2..0000000 --- a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/CONN-5x1-SMD-127MM.kicad_mod +++ /dev/null @@ -1,18 +0,0 @@ -(module CONN-5x1-SMD-127MM (layer F.Cu) (tedit 59B14D2B) - (fp_text reference CONN-5x1-SMD-127MM (at 0.0762 9.42594) (layer F.SilkS) - (effects (font (thickness 0.3048))) - ) - (fp_text value VAL** (at -0.0508 -8.8011) (layer F.SilkS) - (effects (font (thickness 0.3048))) - ) - (pad 1 smd rect (at -2.17932 0.16002) (size 0.75946 2.2003) (layers F.Cu F.Paste F.Mask) - (clearance 0.0508)) - (pad 2 smd rect (at -0.90424 0.16002) (size 0.75946 2.2003) (layers F.Cu F.Paste F.Mask) - (clearance 0.0508)) - (pad 3 smd rect (at 0.37084 0.16002) (size 0.75946 2.2003) (layers F.Cu F.Paste F.Mask) - (clearance 0.0508)) - (pad 4 smd rect (at 1.64592 0.16002) (size 0.75946 2.2003) (layers F.Cu F.Paste F.Mask) - (clearance 0.0508)) - (pad 5 smd rect (at 2.921 0.16002) (size 0.75946 2.2003) (layers F.Cu F.Paste F.Mask) - (clearance 0.0508)) -) diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/MICRO-USB-B-SMD.kicad_mod b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/MICRO-USB-B-SMD.kicad_mod deleted file mode 100644 index 5b538db..0000000 --- a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/MICRO-USB-B-SMD.kicad_mod +++ /dev/null @@ -1,30 +0,0 @@ -(module MICRO-USB-B-SMD (layer F.Cu) (tedit 59AEE3E8) - (fp_text reference MICRO-USB-B-SMD (at 0.14986 6.52018) (layer F.SilkS) hide - (effects (font (thickness 0.3048))) - ) - (fp_text value VAL** (at -0.03048 -5.1689) (layer F.SilkS) hide - (effects (font (thickness 0.3048))) - ) - (pad 1 smd rect (at -1.30048 -0.94996) (size 0.39878 1.34874) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 2 smd rect (at -0.65024 -0.94996) (size 0.39878 1.34874) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 3 smd rect (at 0 -0.94996) (size 0.39878 1.34874) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 4 smd rect (at 0.65024 -0.94996) (size 0.39878 1.34874) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 5 smd rect (at 1.30048 -0.94996) (size 0.39878 1.34874) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 5 smd rect (at -3.0988 -0.8509) (size 2.10058 1.6002) (layers F.Cu F.Paste F.Mask) - (clearance 0.29972)) - (pad 5 smd rect (at 3.10134 -0.8509) (size 2.10058 1.6002) (layers F.Cu F.Paste F.Mask) - (clearance 0.29972)) - (pad 5 smd rect (at 3.8989 1.69926) (size 1.89992 1.89992) (layers F.Cu F.Paste F.Mask) - (clearance 0.29972)) - (pad 5 smd rect (at 1.19888 1.69926) (size 1.89992 1.89992) (layers F.Cu F.Paste F.Mask) - (clearance 0.29972)) - (pad 5 smd rect (at -1.19888 1.69926) (size 1.89992 1.89992) (layers F.Cu F.Paste F.Mask) - (clearance 0.29972)) - (pad 5 smd rect (at -3.8989 1.69926) (size 1.89992 1.89992) (layers F.Cu F.Paste F.Mask) - (clearance 0.29972)) -) diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/QFN56.kicad_mod b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/QFN56.kicad_mod deleted file mode 100644 index 6b2f0de..0000000 --- a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pretty/QFN56.kicad_mod +++ /dev/null @@ -1,122 +0,0 @@ -(module QFN56 (layer F.Cu) (tedit 4FCBEA5C) - (fp_text reference QFN56 (at -2.90068 2.64922) (layer F.SilkS) hide - (effects (font (thickness 0.3048))) - ) - (fp_text value VAL** (at -2.99974 -9.25068) (layer F.SilkS) hide - (effects (font (thickness 0.3048))) - ) - (pad "" smd rect (at 0 0) (size 4.8006 5.4991) (layers F.Cu F.Paste F.Mask) - (clearance 0.01016)) - (pad 28 smd rect (at -3.25374 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 27 smd rect (at -2.75336 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 26 smd rect (at -2.25298 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 25 smd rect (at -1.7526 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 24 smd rect (at -1.25476 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 23 smd rect (at -0.75438 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 22 smd rect (at -0.254 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 21 smd rect (at 0.24638 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 20 smd rect (at 0.74676 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 19 smd rect (at 1.24714 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 18 smd rect (at 1.74752 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 17 smd rect (at 2.2479 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 16 smd rect (at 2.74574 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 15 smd rect (at 3.24612 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 29 smd rect (at -3.85318 3.24612) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 30 smd rect (at -3.85318 2.74574) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 31 smd rect (at -3.85318 2.24536) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 32 smd rect (at -3.85318 1.74498) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 33 smd rect (at -3.85318 1.2446) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 34 smd rect (at -3.85318 0.74422) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 35 smd rect (at -3.85318 0.24384) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 36 smd rect (at -3.85318 -0.254) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 37 smd rect (at -3.85318 -0.75438) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 38 smd rect (at -3.85318 -1.25476) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 39 smd rect (at -3.85318 -1.75514) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 40 smd rect (at -3.85318 -2.25552) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 41 smd rect (at -3.85318 -2.7559) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 42 smd rect (at -3.85318 -3.25374) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 43 smd rect (at -3.25374 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 44 smd rect (at -2.80162 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 45 smd rect (at -2.30378 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 46 smd rect (at -1.8034 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 47 smd rect (at -1.30302 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 48 smd rect (at -0.80264 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 49 smd rect (at -0.30226 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 50 smd rect (at 0.19812 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 51 smd rect (at 0.6985 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 52 smd rect (at 1.19634 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 53 smd rect (at 1.69672 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 54 smd rect (at 2.1971 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 55 smd rect (at 2.69748 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 56 smd rect (at 3.19786 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 1 smd rect (at 3.7973 -3.25628) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 2 smd rect (at 3.7973 -2.7559) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 3 smd rect (at 3.7973 -2.25552) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 4 smd rect (at 3.7973 -1.75514) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 5 smd rect (at 3.7973 -1.25476) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 6 smd rect (at 3.7973 -0.75438) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 7 smd rect (at 3.7973 -0.254) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 8 smd rect (at 3.7973 0.24384) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 9 smd rect (at 3.7973 0.74422) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 10 smd rect (at 3.7973 1.2446) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 11 smd rect (at 3.7973 1.74498) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 12 smd rect (at 3.7973 2.24536) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 13 smd rect (at 3.7973 2.74574) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) - (pad 14 smd rect (at 3.7973 3.24612) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) - (clearance 0.09906)) -) diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pro b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pro deleted file mode 100644 index 25d5d2b..0000000 --- a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pro +++ /dev/null @@ -1,75 +0,0 @@ -update=Sun 09 Jul 2017 09:32:57 PM CEST -version=1 -last_client=kicad -[cvpcb] -version=1 -NetIExt=net -[cvpcb/libraries] -EquName1=devcms -[general] -version=1 -[pcbnew] -version=1 -PadDrlX=320 -PadDimH=600 -PadDimV=600 -BoardThickness=630 -TxtPcbV=800 -TxtPcbH=600 -TxtModV=600 -TxtModH=600 -TxtModW=120 -VEgarde=39 -DrawLar=150 -EdgeLar=150 -TxtLar=120 -MSegLar=150 -LastNetListRead=fx2grok-tiny.net -[pcbnew/libraries] -LibDir=../0.1 -LibName1=sockets -LibName2=connect -LibName3=discret -LibName4=pin_array -LibName5=divers -LibName6=libcms -LibName7=display -LibName8=led -LibName9=dip_sockets -LibName10=pga_sockets -LibName11=valves -LibName12=fx2grok-tiny -[eeschema] -version=1 -LibDir= -[eeschema/libraries] -LibName1=power -LibName2=device -LibName3=transistors -LibName4=conn -LibName5=linear -LibName6=regul -LibName7=74xx -LibName8=cmos4000 -LibName9=adc-dac -LibName10=memory -LibName11=xilinx -LibName12=microcontrollers -LibName13=dsp -LibName14=microchip -LibName15=analog_switches -LibName16=motorola -LibName17=texas -LibName18=intel -LibName19=audio -LibName20=interface -LibName21=digital-audio -LibName22=philips -LibName23=display -LibName24=cypress -LibName25=siliconi -LibName26=opto -LibName27=atmel -LibName28=contrib -LibName29=valves -LibName30=fx2grok-tiny diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.sch b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.sch deleted file mode 100644 index becd35a..0000000 --- a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.sch +++ /dev/null @@ -1,1223 +0,0 @@ -EESchema Schematic File Version 2 -LIBS:power -LIBS:device -LIBS:transistors -LIBS:conn -LIBS:linear -LIBS:regul -LIBS:74xx -LIBS:cmos4000 -LIBS:adc-dac -LIBS:memory -LIBS:xilinx -LIBS:microcontrollers -LIBS:dsp -LIBS:microchip -LIBS:analog_switches -LIBS:motorola -LIBS:texas -LIBS:intel -LIBS:audio -LIBS:interface -LIBS:digital-audio -LIBS:philips -LIBS:display -LIBS:cypress -LIBS:siliconi -LIBS:opto -LIBS:atmel -LIBS:contrib -LIBS:valves -LIBS:fx2grok-tiny -LIBS:fx2grok-tiny-cache -EELAYER 25 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 1 1 -Title "fx2grok" -Date "2017-09-07" -Rev "1" -Comp "http://www.sigrok.org/wiki/Fx2grok" -Comment1 "Open Hardware, CC-BY-SA 3.0" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L R R3 -U 1 1 5151D52F -P 2700 4000 -F 0 "R3" V 2780 4000 50 0000 C CNN -F 1 "2K7" V 2700 4000 50 0000 C CNN -F 2 "SM0402" H 2700 4000 60 0001 C CNN -F 3 "" H 2700 4000 60 0001 C CNN - 1 2700 4000 - 0 1 1 0 -$EndComp -Text Notes 8050 3400 0 60 ~ 0 -5x2 1.27mm pin header (5 pins per PCB side) -Text Label 7400 4100 0 60 ~ 0 -PB7 -Text Label 7400 4000 0 60 ~ 0 -PB6 -Text Label 7400 3900 0 60 ~ 0 -PB5 -Text Label 7400 3800 0 60 ~ 0 -PB4 -Text Label 7400 3700 0 60 ~ 0 -PB3 -Text Label 7400 3600 0 60 ~ 0 -PB2 -Text Label 7400 3500 0 60 ~ 0 -PB1 -Text Label 7400 3400 0 60 ~ 0 -PB0 -Text Label 5450 6200 0 60 ~ 0 -PA0 -Text Label 7400 2500 0 60 ~ 0 -PA0 -NoConn ~ 7300 4300 -NoConn ~ 7300 4400 -Text Label 9600 2850 0 60 ~ 0 -PB7 -Text Label 9600 2750 0 60 ~ 0 -PB5 -Text Label 9600 2650 0 60 ~ 0 -PB3 -Text Label 8550 2850 2 60 ~ 0 -PB6 -Text Label 8550 2750 2 60 ~ 0 -PB4 -Text Label 9600 2550 0 60 ~ 0 -PB1 -Text Label 8550 2650 2 60 ~ 0 -PB2 -Text Label 8550 2550 2 60 ~ 0 -PB0 -$Comp -L C C1 -U 1 1 4FCBEB98 -P 950 5000 -F 0 "C1" H 1000 5100 50 0000 L CNN -F 1 "1uF" H 1000 4900 50 0000 L CNN -F 2 "SM0402" H 950 5000 60 0001 C CNN -F 3 "" H 950 5000 60 0001 C CNN - 1 950 5000 - 1 0 0 -1 -$EndComp -NoConn ~ 4600 3400 -NoConn ~ 4600 3500 -NoConn ~ 4600 4000 -NoConn ~ 4600 4100 -NoConn ~ 4600 4200 -Text Notes 9450 1050 0 60 ~ 0 -Kicad-internal stuff, ignore -$Comp -L PWR_FLAG #FLG1 -U 1 1 4FCB8E1B -P 10100 1300 -F 0 "#FLG1" H 10100 1395 30 0001 C CNN -F 1 "PWR_FLAG" H 10100 1480 30 0000 C CNN -F 2 "" H 10100 1300 60 0001 C CNN -F 3 "" H 10100 1300 60 0001 C CNN - 1 10100 1300 - 1 0 0 -1 -$EndComp -Text Notes 5100 6500 0 60 ~ 0 -LED\n -$Comp -L R R5 -U 1 1 4FCAAEBE -P 5200 6200 -F 0 "R5" V 5280 6200 50 0000 C CNN -F 1 "2K7" V 5200 6200 50 0000 C CNN -F 2 "SM0402" H 5200 6200 60 0001 C CNN -F 3 "" H 5200 6200 60 0001 C CNN - 1 5200 6200 - 0 -1 -1 0 -$EndComp -$Comp -L LED D1 -U 1 1 4FCAAEBC -P 4800 6200 -F 0 "D1" H 4800 6300 50 0000 C CNN -F 1 "LED1" H 4800 6100 50 0000 C CNN -F 2 "SM0402" H 4800 6200 60 0001 C CNN -F 3 "" H 4800 6200 60 0001 C CNN - 1 4800 6200 - -1 0 0 1 -$EndComp -$Comp -L C C15 -U 1 1 4FCAA86B -P 10250 5800 -F 0 "C15" H 10300 5900 50 0000 L CNN -F 1 "100nF" H 10000 5700 50 0000 L CNN -F 2 "SM0402" H 10250 5800 60 0001 C CNN -F 3 "" H 10250 5800 60 0001 C CNN - 1 10250 5800 - 1 0 0 -1 -$EndComp -$Comp -L C C16 -U 1 1 4FCAA863 -P 10550 5800 -F 0 "C16" H 10600 5900 50 0000 L CNN -F 1 "2.2uF" H 10600 5700 50 0000 L CNN -F 2 "SM0402" H 10550 5800 60 0001 C CNN -F 3 "" H 10550 5800 60 0001 C CNN - 1 10550 5800 - 1 0 0 -1 -$EndComp -$Comp -L C C14 -U 1 1 4FCAA85F -P 8800 5800 -F 0 "C14" H 8850 5900 50 0000 L CNN -F 1 "1uF" H 8850 5700 50 0000 L CNN -F 2 "SM0402" H 8800 5800 60 0001 C CNN -F 3 "" H 8800 5800 60 0001 C CNN - 1 8800 5800 - 1 0 0 -1 -$EndComp -$Comp -L MIC5319 U2 -U 1 1 4FCAA78E -P 9550 5450 -F 0 "U2" H 9750 5150 60 0000 C CNN -F 1 "MIC5319" H 9550 5700 60 0000 C CNN -F 2 "SOT23-5" H 9200 4800 60 0001 C CNN -F 3 "" H 9550 5450 60 0001 C CNN - 1 9550 5450 - 1 0 0 -1 -$EndComp -$Comp -L MICRO-USB-B-SMD U1 -U 1 1 4FCAA5E6 -P 1550 1100 -F 0 "U1" H 1650 650 60 0000 C CNN -F 1 "MICRO-USB-B-SMD" H 1400 1450 60 0000 C CNN -F 2 "MICRO-USB-B-SMD" H 1550 1100 60 0001 C CNN -F 3 "" H 1550 1100 60 0001 C CNN - 1 1550 1100 - 1 0 0 -1 -$EndComp -Text Label 4500 4400 2 60 ~ 0 -RESET# -Text Label 1450 4750 0 60 ~ 0 -RESET# -$Comp -L R R2 -U 1 1 4FCAA3E6 -P 1200 4750 -F 0 "R2" V 1280 4750 50 0000 C CNN -F 1 "2K7" V 1200 4750 50 0000 C CNN -F 2 "SM0402" H 1200 4750 60 0001 C CNN -F 3 "" H 1200 4750 60 0001 C CNN - 1 1200 4750 - 0 -1 -1 0 -$EndComp -Text Notes 2250 5050 2 60 ~ 0 -RESET# is tied to VCC\nvia 2K7 and 1uF -Text Label 1450 4000 0 60 ~ 0 -WAKEUP -Text Notes 1900 4250 2 60 ~ 0 -WAKEUP is tied to VCC -Text Label 4500 4600 2 60 ~ 0 -WAKEUP -Text Label 1350 2500 2 60 ~ 0 -XTALIN -Text Label 2150 2500 0 60 ~ 0 -XTALOUT -Text Label 4500 2900 2 60 ~ 0 -XTALIN -Text Label 4500 2800 2 60 ~ 0 -XTALOUT -Text Notes 2050 3550 2 60 ~ 0 -24MHz crystal -Text Notes 10100 6400 2 60 ~ 0 -3.3V voltage regulator -Text Notes 1500 2050 2 60 ~ 0 -USB -Text Notes 4800 5200 2 60 ~ 0 -RESERVED must be tied to GND -NoConn ~ 4600 2600 -NoConn ~ 4600 2500 -Text Notes 700 7650 0 60 ~ 0 -2.2uF/100nF bypass capacitors for all AVCC pins\n -$Comp -L C C11 -U 1 1 4FCA9C86 -P 2200 7050 -F 0 "C11" H 2250 7150 50 0000 L CNN -F 1 "100nF" V 2300 6750 50 0000 L CNN -F 2 "SM0402" H 2200 7050 60 0001 C CNN -F 3 "" H 2200 7050 60 0001 C CNN - 1 2200 7050 - 1 0 0 -1 -$EndComp -$Comp -L C C8 -U 1 1 4FCA9C7E -P 1900 7050 -F 0 "C8" H 1950 7150 50 0000 L CNN -F 1 "100nF" V 2000 6750 50 0000 L CNN -F 2 "SM0402" H 1900 7050 60 0001 C CNN -F 3 "" H 1900 7050 60 0001 C CNN - 1 1900 7050 - 1 0 0 -1 -$EndComp -$Comp -L C C6 -U 1 1 4FCA9C79 -P 1600 7050 -F 0 "C6" H 1650 7150 50 0000 L CNN -F 1 "2.2uF" V 1700 6750 50 0000 L CNN -F 2 "SM0402" H 1600 7050 60 0001 C CNN -F 3 "" H 1600 7050 60 0001 C CNN - 1 1600 7050 - 1 0 0 -1 -$EndComp -$Comp -L C C3 -U 1 1 4FCA9C5D -P 1300 7050 -F 0 "C3" H 1350 7150 50 0000 L CNN -F 1 "2.2uF" V 1400 6750 50 0000 L CNN -F 2 "SM0402" H 1300 7050 60 0001 C CNN -F 3 "" H 1300 7050 60 0001 C CNN - 1 1300 7050 - 1 0 0 -1 -$EndComp -Text Notes 1100 6450 0 60 ~ 0 -100nF bypass capacitors for all VCC pins\n -$Comp -L C C13 -U 1 1 4FCA97A0 -P 2800 5850 -F 0 "C13" H 2850 5950 50 0000 L CNN -F 1 "100nF" V 2900 5550 50 0000 L CNN -F 2 "SM0402" H 2800 5850 60 0001 C CNN -F 3 "" H 2800 5850 60 0001 C CNN - 1 2800 5850 - 1 0 0 -1 -$EndComp -$Comp -L C C12 -U 1 1 4FCA9799 -P 2500 5850 -F 0 "C12" H 2550 5950 50 0000 L CNN -F 1 "100nF" V 2600 5550 50 0000 L CNN -F 2 "SM0402" H 2500 5850 60 0001 C CNN -F 3 "" H 2500 5850 60 0001 C CNN - 1 2500 5850 - 1 0 0 -1 -$EndComp -$Comp -L C C7 -U 1 1 4FCA9793 -P 1900 5850 -F 0 "C7" H 1950 5950 50 0000 L CNN -F 1 "100nF" V 2000 5550 50 0000 L CNN -F 2 "SM0402" H 1900 5850 60 0001 C CNN -F 3 "" H 1900 5850 60 0001 C CNN - 1 1900 5850 - 1 0 0 -1 -$EndComp -$Comp -L C C5 -U 1 1 4FCA9777 -P 1600 5850 -F 0 "C5" H 1650 5950 50 0000 L CNN -F 1 "100nF" V 1700 5550 50 0000 L CNN -F 2 "SM0402" H 1600 5850 60 0001 C CNN -F 3 "" H 1600 5850 60 0001 C CNN - 1 1600 5850 - 1 0 0 -1 -$EndComp -$Comp -L C C10 -U 1 1 4FCA9724 -P 2200 5850 -F 0 "C10" H 2250 5950 50 0000 L CNN -F 1 "100nF" V 2300 5550 50 0000 L CNN -F 2 "SM0402" H 2200 5850 60 0001 C CNN -F 3 "" H 2200 5850 60 0001 C CNN - 1 2200 5850 - 1 0 0 -1 -$EndComp -$Comp -L C C2 -U 1 1 4FCA96AB -P 1300 5850 -F 0 "C2" H 1350 5950 50 0000 L CNN -F 1 "100nF" V 1400 5550 50 0000 L CNN -F 2 "SM0402" H 1300 5850 60 0001 C CNN -F 3 "" H 1300 5850 60 0001 C CNN - 1 1300 5850 - 1 0 0 -1 -$EndComp -$Comp -L R R1 -U 1 1 4FCA95B2 -P 1200 4000 -F 0 "R1" V 1280 4000 50 0000 C CNN -F 1 "100K" V 1200 4000 50 0000 C CNN -F 2 "SM0402" H 1200 4000 60 0001 C CNN -F 3 "" H 1200 4000 60 0001 C CNN - 1 1200 4000 - 0 -1 -1 0 -$EndComp -Text Notes 2450 4700 0 60 ~ 0 -I2C pullups -Text Label 2950 4400 0 60 ~ 0 -SDA -Text Label 2950 4000 0 60 ~ 0 -SCL -Text Label 4500 3800 2 60 ~ 0 -SDA -Text Label 4500 3700 2 60 ~ 0 -SCL -$Comp -L R R4 -U 1 1 4FCA93BD -P 2700 4400 -F 0 "R4" V 2780 4400 50 0000 C CNN -F 1 "2K7" V 2700 4400 50 0000 C CNN -F 2 "SM0402" H 2700 4400 60 0001 C CNN -F 3 "" H 2700 4400 60 0001 C CNN - 1 2700 4400 - 0 1 1 0 -$EndComp -Text Label 4500 3200 2 60 ~ 0 -DM -Text Label 4500 3100 2 60 ~ 0 -DP -NoConn ~ 7300 3200 -NoConn ~ 7300 3100 -NoConn ~ 7300 3000 -NoConn ~ 7300 2900 -NoConn ~ 7300 2800 -NoConn ~ 7300 2700 -NoConn ~ 7300 2600 -NoConn ~ 7300 4500 -NoConn ~ 7300 4600 -NoConn ~ 7300 4700 -NoConn ~ 7300 4800 -NoConn ~ 7300 4900 -NoConn ~ 7300 5000 -$Comp -L C C4 -U 1 1 4FC8F6F8 -P 1350 2900 -F 0 "C4" H 1250 3000 50 0000 L CNN -F 1 "12pF" H 1150 2800 50 0000 L CNN -F 2 "SM0402" H 1350 2900 60 0001 C CNN -F 3 "" H 1350 2900 60 0001 C CNN - 1 1350 2900 - 1 0 0 -1 -$EndComp -$Comp -L C C9 -U 1 1 4FC8F6F5 -P 2150 2900 -F 0 "C9" H 2200 3000 50 0000 L CNN -F 1 "12pF" H 2200 2800 50 0000 L CNN -F 2 "SM0402" H 2150 2900 60 0001 C CNN -F 3 "" H 2150 2900 60 0001 C CNN - 1 2150 2900 - 1 0 0 -1 -$EndComp -Text Label 2250 900 0 60 ~ 0 -VBUS -Text Label 2250 1000 0 60 ~ 0 -DM -Text Label 2250 1100 0 60 ~ 0 -DP -NoConn ~ 2050 1200 -Text Label 1150 1800 2 60 ~ 0 -SHIELD -Text Label 8700 5400 2 60 ~ 0 -VBUS -$Comp -L CY7C68013A-56 IC1 -U 1 1 4FC8F245 -P 6000 3800 -F 0 "IC1" H 6650 2300 60 0000 C CNN -F 1 "CY7C68013A-56" H 6000 3800 60 0000 C CNN -F 2 "QFN56" H 6000 3800 60 0001 C CNN -F 3 "" H 6000 3800 60 0001 C CNN - 1 6000 3800 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR6 -U 1 1 596302F6 -P 1300 6800 -F 0 "#PWR6" H 1300 6650 50 0001 C CNN -F 1 "+3.3V" H 1300 6940 50 0000 C CNN -F 2 "" H 1300 6800 50 0000 C CNN -F 3 "" H 1300 6800 50 0000 C CNN - 1 1300 6800 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR11 -U 1 1 596304F6 -P 1600 6800 -F 0 "#PWR11" H 1600 6650 50 0001 C CNN -F 1 "+3.3V" H 1600 6940 50 0000 C CNN -F 2 "" H 1600 6800 50 0000 C CNN -F 3 "" H 1600 6800 50 0000 C CNN - 1 1600 6800 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR15 -U 1 1 59630555 -P 1900 6800 -F 0 "#PWR15" H 1900 6650 50 0001 C CNN -F 1 "+3.3V" H 1900 6940 50 0000 C CNN -F 2 "" H 1900 6800 50 0000 C CNN -F 3 "" H 1900 6800 50 0000 C CNN - 1 1900 6800 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR22 -U 1 1 596305B4 -P 2200 6800 -F 0 "#PWR22" H 2200 6650 50 0001 C CNN -F 1 "+3.3V" H 2200 6940 50 0000 C CNN -F 2 "" H 2200 6800 50 0000 C CNN -F 3 "" H 2200 6800 50 0000 C CNN - 1 2200 6800 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR7 -U 1 1 59630673 -P 1300 7300 -F 0 "#PWR7" H 1300 7050 50 0001 C CNN -F 1 "GND" H 1300 7150 50 0000 C CNN -F 2 "" H 1300 7300 50 0000 C CNN -F 3 "" H 1300 7300 50 0000 C CNN - 1 1300 7300 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR12 -U 1 1 596307A3 -P 1600 7300 -F 0 "#PWR12" H 1600 7050 50 0001 C CNN -F 1 "GND" H 1600 7150 50 0000 C CNN -F 2 "" H 1600 7300 50 0000 C CNN -F 3 "" H 1600 7300 50 0000 C CNN - 1 1600 7300 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR16 -U 1 1 59630802 -P 1900 7300 -F 0 "#PWR16" H 1900 7050 50 0001 C CNN -F 1 "GND" H 1900 7150 50 0000 C CNN -F 2 "" H 1900 7300 50 0000 C CNN -F 3 "" H 1900 7300 50 0000 C CNN - 1 1900 7300 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR23 -U 1 1 59630899 -P 2200 7300 -F 0 "#PWR23" H 2200 7050 50 0001 C CNN -F 1 "GND" H 2200 7150 50 0000 C CNN -F 2 "" H 2200 7300 50 0000 C CNN -F 3 "" H 2200 7300 50 0000 C CNN - 1 2200 7300 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR5 -U 1 1 5963105D -P 1300 6100 -F 0 "#PWR5" H 1300 5850 50 0001 C CNN -F 1 "GND" H 1300 5950 50 0000 C CNN -F 2 "" H 1300 6100 50 0000 C CNN -F 3 "" H 1300 6100 50 0000 C CNN - 1 1300 6100 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR10 -U 1 1 59631112 -P 1600 6100 -F 0 "#PWR10" H 1600 5850 50 0001 C CNN -F 1 "GND" H 1600 5950 50 0000 C CNN -F 2 "" H 1600 6100 50 0000 C CNN -F 3 "" H 1600 6100 50 0000 C CNN - 1 1600 6100 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR14 -U 1 1 5963118D -P 1900 6100 -F 0 "#PWR14" H 1900 5850 50 0001 C CNN -F 1 "GND" H 1900 5950 50 0000 C CNN -F 2 "" H 1900 6100 50 0000 C CNN -F 3 "" H 1900 6100 50 0000 C CNN - 1 1900 6100 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR21 -U 1 1 596311EC -P 2200 6100 -F 0 "#PWR21" H 2200 5850 50 0001 C CNN -F 1 "GND" H 2200 5950 50 0000 C CNN -F 2 "" H 2200 6100 50 0000 C CNN -F 3 "" H 2200 6100 50 0000 C CNN - 1 2200 6100 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR27 -U 1 1 5963124B -P 2500 6100 -F 0 "#PWR27" H 2500 5850 50 0001 C CNN -F 1 "GND" H 2500 5950 50 0000 C CNN -F 2 "" H 2500 6100 50 0000 C CNN -F 3 "" H 2500 6100 50 0000 C CNN - 1 2500 6100 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR29 -U 1 1 596312AA -P 2800 6100 -F 0 "#PWR29" H 2800 5850 50 0001 C CNN -F 1 "GND" H 2800 5950 50 0000 C CNN -F 2 "" H 2800 6100 50 0000 C CNN -F 3 "" H 2800 6100 50 0000 C CNN - 1 2800 6100 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR4 -U 1 1 59631505 -P 1300 5600 -F 0 "#PWR4" H 1300 5450 50 0001 C CNN -F 1 "+3.3V" H 1300 5740 50 0000 C CNN -F 2 "" H 1300 5600 50 0000 C CNN -F 3 "" H 1300 5600 50 0000 C CNN - 1 1300 5600 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR9 -U 1 1 59631670 -P 1600 5600 -F 0 "#PWR9" H 1600 5450 50 0001 C CNN -F 1 "+3.3V" H 1600 5740 50 0000 C CNN -F 2 "" H 1600 5600 50 0000 C CNN -F 3 "" H 1600 5600 50 0000 C CNN - 1 1600 5600 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR13 -U 1 1 596316CF -P 1900 5600 -F 0 "#PWR13" H 1900 5450 50 0001 C CNN -F 1 "+3.3V" H 1900 5740 50 0000 C CNN -F 2 "" H 1900 5600 50 0000 C CNN -F 3 "" H 1900 5600 50 0000 C CNN - 1 1900 5600 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR20 -U 1 1 5963172E -P 2200 5600 -F 0 "#PWR20" H 2200 5450 50 0001 C CNN -F 1 "+3.3V" H 2200 5740 50 0000 C CNN -F 2 "" H 2200 5600 50 0000 C CNN -F 3 "" H 2200 5600 50 0000 C CNN - 1 2200 5600 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR26 -U 1 1 5963178D -P 2500 5600 -F 0 "#PWR26" H 2500 5450 50 0001 C CNN -F 1 "+3.3V" H 2500 5740 50 0000 C CNN -F 2 "" H 2500 5600 50 0000 C CNN -F 3 "" H 2500 5600 50 0000 C CNN - 1 2500 5600 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR28 -U 1 1 596317EC -P 2800 5600 -F 0 "#PWR28" H 2800 5450 50 0001 C CNN -F 1 "+3.3V" H 2800 5740 50 0000 C CNN -F 2 "" H 2800 5600 50 0000 C CNN -F 3 "" H 2800 5600 50 0000 C CNN - 1 2800 5600 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR3 -U 1 1 59631E88 -P 950 5250 -F 0 "#PWR3" H 950 5000 50 0001 C CNN -F 1 "GND" H 950 5100 50 0000 C CNN -F 2 "" H 950 5250 50 0000 C CNN -F 3 "" H 950 5250 50 0000 C CNN - 1 950 5250 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR2 -U 1 1 59631F04 -P 950 4650 -F 0 "#PWR2" H 950 4500 50 0001 C CNN -F 1 "+3.3V" H 950 4790 50 0000 C CNN -F 2 "" H 950 4650 50 0000 C CNN -F 3 "" H 950 4650 50 0000 C CNN - 1 950 4650 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR1 -U 1 1 5963220C -P 950 3900 -F 0 "#PWR1" H 950 3750 50 0001 C CNN -F 1 "+3.3V" H 950 4040 50 0000 C CNN -F 2 "" H 950 3900 50 0000 C CNN -F 3 "" H 950 3900 50 0000 C CNN - 1 950 3900 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR24 -U 1 1 596322DD -P 2450 3900 -F 0 "#PWR24" H 2450 3750 50 0001 C CNN -F 1 "+3.3V" H 2450 4040 50 0000 C CNN -F 2 "" H 2450 3900 50 0000 C CNN -F 3 "" H 2450 3900 50 0000 C CNN - 1 2450 3900 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR25 -U 1 1 5963233C -P 2450 4300 -F 0 "#PWR25" H 2450 4150 50 0001 C CNN -F 1 "+3.3V" H 2450 4440 50 0000 C CNN -F 2 "" H 2450 4300 50 0000 C CNN -F 3 "" H 2450 4300 50 0000 C CNN - 1 2450 4300 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR30 -U 1 1 596323D4 -P 4500 4900 -F 0 "#PWR30" H 4500 4650 50 0001 C CNN -F 1 "GND" H 4500 4750 50 0000 C CNN -F 2 "" H 4500 4900 50 0000 C CNN -F 3 "" H 4500 4900 50 0000 C CNN - 1 4500 4900 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR19 -U 1 1 59633244 -P 2150 3150 -F 0 "#PWR19" H 2150 2900 50 0001 C CNN -F 1 "GND" H 2150 3000 50 0000 C CNN -F 2 "" H 2150 3150 50 0000 C CNN -F 3 "" H 2150 3150 50 0000 C CNN - 1 2150 3150 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR8 -U 1 1 596332A3 -P 1350 3150 -F 0 "#PWR8" H 1350 2900 50 0001 C CNN -F 1 "GND" H 1350 3000 50 0000 C CNN -F 2 "" H 1350 3150 50 0000 C CNN -F 3 "" H 1350 3150 50 0000 C CNN - 1 1350 3150 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR18 -U 1 1 59633E86 -P 2150 1900 -F 0 "#PWR18" H 2150 1650 50 0001 C CNN -F 1 "GND" H 2150 1750 50 0000 C CNN -F 2 "" H 2150 1900 50 0000 C CNN -F 3 "" H 2150 1900 50 0000 C CNN - 1 2150 1900 - 1 0 0 -1 -$EndComp -$Comp -L +5V #PWR17 -U 1 1 5963439C -P 2150 800 -F 0 "#PWR17" H 2150 650 50 0001 C CNN -F 1 "+5V" H 2150 940 50 0000 C CNN -F 2 "" H 2150 800 50 0000 C CNN -F 3 "" H 2150 800 50 0000 C CNN - 1 2150 800 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR33 -U 1 1 59635EE4 -P 5900 5500 -F 0 "#PWR33" H 5900 5250 50 0001 C CNN -F 1 "GND" H 5900 5350 50 0000 C CNN -F 2 "" H 5900 5500 50 0000 C CNN -F 3 "" H 5900 5500 50 0000 C CNN - 1 5900 5500 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR31 -U 1 1 59636270 -P 4550 6300 -F 0 "#PWR31" H 4550 6050 50 0001 C CNN -F 1 "GND" H 4550 6150 50 0000 C CNN -F 2 "" H 4550 6300 50 0000 C CNN -F 3 "" H 4550 6300 50 0000 C CNN - 1 4550 6300 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR40 -U 1 1 5963B0F1 -P 10550 5300 -F 0 "#PWR40" H 10550 5150 50 0001 C CNN -F 1 "+3.3V" H 10550 5440 50 0000 C CNN -F 2 "" H 10550 5300 50 0000 C CNN -F 3 "" H 10550 5300 50 0000 C CNN - 1 10550 5300 - 1 0 0 -1 -$EndComp -Wire Wire Line - 9600 3050 9600 2950 -Wire Wire Line - 7300 2500 7400 2500 -Wire Wire Line - 9600 2950 9500 2950 -Wire Wire Line - 9500 2750 9600 2750 -Wire Wire Line - 9500 2550 9600 2550 -Wire Wire Line - 8650 2850 8550 2850 -Wire Wire Line - 8650 2650 8550 2650 -Wire Wire Line - 10100 1300 10100 1400 -Connection ~ 1350 1800 -Wire Wire Line - 1350 1700 1350 1800 -Wire Wire Line - 10150 5400 10550 5400 -Wire Wire Line - 10250 5550 10150 5550 -Wire Wire Line - 4600 4400 4500 4400 -Wire Wire Line - 1350 2500 1350 2750 -Wire Wire Line - 4600 2800 4500 2800 -Wire Wire Line - 2150 2500 2150 2750 -Wire Wire Line - 4500 3200 4600 3200 -Wire Wire Line - 7300 4100 7400 4100 -Wire Wire Line - 7300 3900 7400 3900 -Wire Wire Line - 7300 3700 7400 3700 -Wire Wire Line - 7300 3500 7400 3500 -Wire Wire Line - 4600 3800 4500 3800 -Wire Wire Line - 5900 5500 5900 5300 -Connection ~ 5700 5400 -Wire Wire Line - 5700 5300 5700 5400 -Connection ~ 5900 5400 -Connection ~ 6200 5400 -Wire Wire Line - 6200 5300 6200 5400 -Wire Wire Line - 5900 1900 5900 2100 -Connection ~ 5700 2000 -Wire Wire Line - 5700 2100 5700 2000 -Connection ~ 5900 2000 -Connection ~ 6200 2000 -Wire Wire Line - 6200 2100 6200 2000 -Wire Wire Line - 2250 1100 2050 1100 -Wire Wire Line - 2250 900 2050 900 -Connection ~ 2150 1800 -Wire Wire Line - 2150 1900 2150 1300 -Wire Wire Line - 2150 1300 2050 1300 -Wire Wire Line - 1150 1700 1150 1800 -Wire Wire Line - 9550 5950 9550 6050 -Connection ~ 8800 5400 -Connection ~ 10550 5400 -Wire Wire Line - 2250 1000 2050 1000 -Wire Wire Line - 2150 900 2150 800 -Connection ~ 2150 900 -Wire Wire Line - 5500 2100 5500 2000 -Wire Wire Line - 5500 2000 6300 2000 -Wire Wire Line - 6300 2000 6300 2100 -Wire Wire Line - 6000 2100 6000 2000 -Connection ~ 6000 2000 -Wire Wire Line - 5800 2100 5800 2000 -Connection ~ 5800 2000 -Wire Wire Line - 5600 2100 5600 2000 -Connection ~ 5600 2000 -Wire Wire Line - 5500 5300 5500 5400 -Wire Wire Line - 5500 5400 6300 5400 -Wire Wire Line - 6300 5400 6300 5300 -Wire Wire Line - 6000 5300 6000 5400 -Connection ~ 6000 5400 -Wire Wire Line - 5800 5300 5800 5400 -Connection ~ 5800 5400 -Wire Wire Line - 5600 5300 5600 5400 -Connection ~ 5600 5400 -Wire Wire Line - 4600 3700 4500 3700 -Wire Wire Line - 4600 4800 4500 4800 -Wire Wire Line - 4500 4800 4500 4900 -Wire Wire Line - 7300 3400 7400 3400 -Wire Wire Line - 7300 3600 7400 3600 -Wire Wire Line - 7300 3800 7400 3800 -Wire Wire Line - 7300 4000 7400 4000 -Wire Wire Line - 4600 3100 4500 3100 -Wire Wire Line - 4600 2900 4500 2900 -Wire Wire Line - 4600 4600 4500 4600 -Wire Wire Line - 9000 5550 8900 5550 -Wire Wire Line - 8900 5550 8900 5400 -Connection ~ 8900 5400 -Wire Wire Line - 8700 5400 9000 5400 -Wire Wire Line - 1450 1700 1450 1800 -Connection ~ 1450 1800 -Wire Wire Line - 1250 1700 1250 1800 -Connection ~ 1250 1800 -Wire Wire Line - 8650 2550 8550 2550 -Wire Wire Line - 8650 2750 8550 2750 -Wire Wire Line - 8650 2950 8550 2950 -Wire Wire Line - 9500 2650 9600 2650 -Wire Wire Line - 9500 2850 9600 2850 -Wire Wire Line - 1150 1800 2150 1800 -Wire Wire Line - 8550 2950 8550 3050 -Wire Wire Line - 1300 5600 1300 5700 -Wire Wire Line - 1600 5600 1600 5700 -Wire Wire Line - 1900 5600 1900 5700 -Wire Wire Line - 2200 5600 2200 5700 -Wire Wire Line - 2500 5600 2500 5700 -Wire Wire Line - 1300 6000 1300 6100 -Wire Wire Line - 1600 6000 1600 6100 -Wire Wire Line - 1900 6000 1900 6100 -Wire Wire Line - 2200 6000 2200 6100 -Wire Wire Line - 2500 6000 2500 6100 -Wire Wire Line - 2800 5600 2800 5700 -Wire Wire Line - 2800 6000 2800 6100 -Wire Wire Line - 1300 6800 1300 6900 -Wire Wire Line - 1600 6800 1600 6900 -Wire Wire Line - 1900 6800 1900 6900 -Wire Wire Line - 2200 6800 2200 6900 -Wire Wire Line - 2200 7200 2200 7300 -Wire Wire Line - 1900 7200 1900 7300 -Wire Wire Line - 1600 7200 1600 7300 -Wire Wire Line - 1300 7200 1300 7300 -Wire Wire Line - 1350 3050 1350 3150 -Wire Wire Line - 2150 3050 2150 3150 -Wire Wire Line - 1600 2650 1350 2650 -Connection ~ 1350 2650 -Wire Wire Line - 2150 2650 1900 2650 -Connection ~ 2150 2650 -Wire Wire Line - 1050 4000 950 4000 -Wire Wire Line - 950 4000 950 3900 -Wire Wire Line - 1350 4000 1450 4000 -Wire Wire Line - 1350 4750 1450 4750 -Wire Wire Line - 1050 4750 950 4750 -Wire Wire Line - 950 4650 950 4850 -Connection ~ 950 4750 -Wire Wire Line - 950 5250 950 5150 -Wire Wire Line - 2550 4400 2450 4400 -Wire Wire Line - 2450 4400 2450 4300 -Wire Wire Line - 2850 4400 2950 4400 -Wire Wire Line - 2550 4000 2450 4000 -Wire Wire Line - 2450 4000 2450 3900 -Wire Wire Line - 2850 4000 2950 4000 -Wire Wire Line - 5350 6200 5450 6200 -Wire Wire Line - 5050 6200 4950 6200 -Wire Wire Line - 4650 6200 4550 6200 -Wire Wire Line - 4550 6200 4550 6300 -Wire Wire Line - 10250 5550 10250 5650 -Wire Wire Line - 10250 5950 10250 6050 -Wire Wire Line - 10550 5650 10550 5300 -Wire Wire Line - 10550 5950 10550 6050 -$Comp -L GND #PWR41 -U 1 1 5962E127 -P 10550 6050 -F 0 "#PWR41" H 10550 5800 50 0001 C CNN -F 1 "GND" H 10550 5900 50 0000 C CNN -F 2 "" H 10550 6050 50 0000 C CNN -F 3 "" H 10550 6050 50 0000 C CNN - 1 10550 6050 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR39 -U 1 1 5962E1AA -P 10250 6050 -F 0 "#PWR39" H 10250 5800 50 0001 C CNN -F 1 "GND" H 10250 5900 50 0000 C CNN -F 2 "" H 10250 6050 50 0000 C CNN -F 3 "" H 10250 6050 50 0000 C CNN - 1 10250 6050 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR36 -U 1 1 5962F418 -P 9550 6050 -F 0 "#PWR36" H 9550 5800 50 0001 C CNN -F 1 "GND" H 9550 5900 50 0000 C CNN -F 2 "" H 9550 6050 50 0000 C CNN -F 3 "" H 9550 6050 50 0000 C CNN - 1 9550 6050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 8800 5650 8800 5400 -Wire Wire Line - 8800 5950 8800 6050 -$Comp -L GND #PWR35 -U 1 1 5962F669 -P 8800 6050 -F 0 "#PWR35" H 8800 5800 50 0001 C CNN -F 1 "GND" H 8800 5900 50 0000 C CNN -F 2 "" H 8800 6050 50 0000 C CNN -F 3 "" H 8800 6050 50 0000 C CNN - 1 8800 6050 - 1 0 0 -1 -$EndComp -$Comp -L +3.3V #PWR32 -U 1 1 59631492 -P 5900 1900 -F 0 "#PWR32" H 5900 1750 50 0001 C CNN -F 1 "+3.3V" H 5900 2040 50 0000 C CNN -F 2 "" H 5900 1900 50 0000 C CNN -F 3 "" H 5900 1900 50 0000 C CNN - 1 5900 1900 - 1 0 0 -1 -$EndComp -$Comp -L CONN_01X05 P1 -U 1 1 596377A2 -P 8850 2750 -F 0 "P1" H 8850 3050 50 0000 C CNN -F 1 "CONN_01X05" V 8950 2750 50 0000 C CNN -F 2 "CONN-5x1-SMD-127MM" H 8850 2750 50 0001 C CNN -F 3 "" H 8850 2750 50 0000 C CNN - 1 8850 2750 - 1 0 0 -1 -$EndComp -$Comp -L CONN_01X05 P2 -U 1 1 59637927 -P 9300 2750 -F 0 "P2" H 9300 3050 50 0000 C CNN -F 1 "CONN_01X05" V 9400 2750 50 0000 C CNN -F 2 "CONN-5x1-SMD-127MM" H 9300 2750 50 0001 C CNN -F 3 "" H 9300 2750 50 0000 C CNN - 1 9300 2750 - -1 0 0 1 -$EndComp -$Comp -L GND #PWR37 -U 1 1 5963AEBB -P 9600 3050 -F 0 "#PWR37" H 9600 2800 50 0001 C CNN -F 1 "GND" H 9600 2900 50 0000 C CNN -F 2 "" H 9600 3050 50 0000 C CNN -F 3 "" H 9600 3050 50 0000 C CNN - 1 9600 3050 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR34 -U 1 1 5963AF21 -P 8550 3050 -F 0 "#PWR34" H 8550 2800 50 0001 C CNN -F 1 "GND" H 8550 2900 50 0000 C CNN -F 2 "" H 8550 3050 50 0000 C CNN -F 3 "" H 8550 3050 50 0000 C CNN - 1 8550 3050 - 1 0 0 -1 -$EndComp -$Comp -L GND #PWR38 -U 1 1 5962BAD9 -P 10100 1400 -F 0 "#PWR38" H 10100 1150 50 0001 C CNN -F 1 "GND" H 10100 1250 50 0000 C CNN -F 2 "" H 10100 1400 50 0000 C CNN -F 3 "" H 10100 1400 50 0000 C CNN - 1 10100 1400 - 1 0 0 -1 -$EndComp -$Comp -L Crystal_GND24 Y1 -U 1 1 5962A5CC -P 1750 2650 -F 0 "Y1" H 1600 3050 50 0000 L CNN -F 1 "24MHz" H 1450 2950 50 0000 L CNN -F 2 "ABM11" H 1750 2650 50 0001 C CNN -F 3 "" H 1750 2650 50 0000 C CNN - 1 1750 2650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1750 2450 1750 2200 -Wire Wire Line - 1750 2200 1950 2200 -Wire Wire Line - 1950 2200 1950 2300 -$Comp -L GND #PWR? -U 1 1 5962AF70 -P 1950 2300 -F 0 "#PWR?" H 1950 2050 50 0001 C CNN -F 1 "GND" H 1950 2150 50 0000 C CNN -F 2 "" H 1950 2300 50 0000 C CNN -F 3 "" H 1950 2300 50 0000 C CNN - 1 1950 2300 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1750 2850 1750 2950 -$Comp -L GND #PWR? -U 1 1 5962B0E3 -P 1750 2950 -F 0 "#PWR?" H 1750 2700 50 0001 C CNN -F 1 "GND" H 1750 2800 50 0000 C CNN -F 2 "" H 1750 2950 50 0000 C CNN -F 3 "" H 1750 2950 50 0000 C CNN - 1 1750 2950 - 1 0 0 -1 -$EndComp -$EndSCHEMATC diff --git a/hardware/fx2grok-tiny/fp-lib-table b/hardware/fx2grok-tiny/fp-lib-table new file mode 100644 index 0000000..5a1c155 --- /dev/null +++ b/hardware/fx2grok-tiny/fp-lib-table @@ -0,0 +1,3 @@ +(fp_lib_table + (lib (name fx2grok-tiny)(type KiCad)(uri "$(KIPRJMOD)/fx2grok-tiny.pretty")(options "")(descr "")) +) diff --git a/hardware/fx2grok-tiny/fx2grok-tiny.kicad_pcb b/hardware/fx2grok-tiny/fx2grok-tiny.kicad_pcb new file mode 100644 index 0000000..9cc04ea --- /dev/null +++ b/hardware/fx2grok-tiny/fx2grok-tiny.kicad_pcb @@ -0,0 +1,1382 @@ +(kicad_pcb (version 4) (host pcbnew 4.0.6+dfsg1-1) + + (general + (links 85) + (no_connects 0) + (area 50.03038 32.773983 90.07094 61.842831) + (thickness 1.6002) + (drawings 10) + (tracks 374) + (zones 0) + (modules 28) + (nets 23) + ) + + (page User 152.4 101.6) + (title_block + (date 2017-09-07) + ) + + (layers + (0 Front signal) + (31 Back signal) + (32 B.Adhes user hide) + (33 F.Adhes user hide) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user hide) + (37 F.SilkS user hide) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user hide) + (41 Cmts.User user hide) + (42 Eco1.User user hide) + (43 Eco2.User user hide) + (44 Edge.Cuts user) + ) + + (setup + (last_trace_width 0.127) + (trace_clearance 0.1524) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.127) + (segment_width 0.381) + (edge_width 0.381) + (via_size 0.44958) + (via_drill 0.20066) + (via_min_size 0.44958) + (via_min_drill 0.20066) + (uvia_size 0.508) + (uvia_drill 0.127) + (uvias_allowed no) + (uvia_min_size 0.508) + (uvia_min_drill 0.127) + (pcb_text_width 0.3048) + (pcb_text_size 1.524 2.032) + (mod_edge_width 0.381) + (mod_text_size 1.524 1.524) + (mod_text_width 0.3048) + (pad_size 1.89992 1.89992) + (pad_drill 0) + (pad_to_mask_clearance 0.09906) + (aux_axis_origin 0 0) + (visible_elements FFFFFF19) + (pcbplotparams + (layerselection 0x010cc_80000001) + (usegerberextensions true) + (usegerberattributes true) + (excludeedgelayer true) + (linewidth 0.150000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15) + (hpglpenoverlay 0) + (psnegative false) + (psa4output false) + (plotreference false) + (plotvalue false) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "")) + ) + + (net 0 "") + (net 1 +5V) + (net 2 /DM) + (net 3 /DP) + (net 4 /PA0) + (net 5 /PB0) + (net 6 /PB1) + (net 7 /PB2) + (net 8 /PB3) + (net 9 /PB4) + (net 10 /PB5) + (net 11 /PB6) + (net 12 /PB7) + (net 13 /RESET#) + (net 14 /SCL) + (net 15 /SDA) + (net 16 /WAKEUP) + (net 17 /XTALIN) + (net 18 /XTALOUT) + (net 19 GND) + (net 20 +3V3) + (net 21 "Net-(C15-Pad1)") + (net 22 "Net-(D1-Pad1)") + + (net_class Default "This is the default net class." + (clearance 0.1524) + (trace_width 0.127) + (via_dia 0.44958) + (via_drill 0.20066) + (uvia_dia 0.508) + (uvia_drill 0.127) + (add_net +3V3) + (add_net +5V) + (add_net /DM) + (add_net /DP) + (add_net /PA0) + (add_net /PB0) + (add_net /PB1) + (add_net /PB2) + (add_net /PB3) + (add_net /PB4) + (add_net /PB5) + (add_net /PB6) + (add_net /PB7) + (add_net /RESET#) + (add_net /SCL) + (add_net /SDA) + (add_net /WAKEUP) + (add_net /XTALIN) + (add_net /XTALOUT) + (add_net GND) + (add_net "Net-(C15-Pad1)") + (add_net "Net-(D1-Pad1)") + ) + + (module SM0402 (layer Front) (tedit 4FCE909E) (tstamp 4FCBF572) + (at 74.93 38.735 180) + (path /4FCAA85F) + (attr smd) + (fp_text reference C14 (at 0 0 180) (layer F.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_text value 1uF (at 0 -0.635 180) (layer F.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 1 +5V)) + (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE9854) (tstamp 4FCBF566) + (at 77.343 38.862 90) + (path /4FCA9777) + (attr smd) + (fp_text reference C5 (at 0 0 90) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Front) (tedit 4FCE9564) (tstamp 4FCBF574) + (at 71.374 38.735 180) + (path /4FCAAEBC) + (attr smd) + (fp_text reference D1 (at 0 -0.04826 180) (layer F.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_text value LED1 (at 0 -0.635 180) (layer F.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 22 "Net-(D1-Pad1)")) + (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SOT23-5 (layer Front) (tedit 4FCE8523) (tstamp 4FCBF54B) + (at 75.15098 41.39946 270) + (path /4FCAA78E) + (attr smd) + (fp_text reference U2 (at 2.19964 -0.29972 360) (layer F.SilkS) + (effects (font (size 0.635 0.635) (thickness 0.127))) + ) + (fp_text value MIC5319 (at 18.2499 23.25116 270) (layer F.SilkS) hide + (effects (font (size 0.635 0.635) (thickness 0.127))) + ) + (fp_line (start 1.524 -0.889) (end 1.524 0.889) (layer F.SilkS) (width 0.127)) + (fp_line (start 1.524 0.889) (end -1.524 0.889) (layer F.SilkS) (width 0.127)) + (fp_line (start -1.524 0.889) (end -1.524 -0.889) (layer F.SilkS) (width 0.127)) + (fp_line (start -1.524 -0.889) (end 1.524 -0.889) (layer F.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.9525 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask) + (net 1 +5V)) + (pad 3 smd rect (at 0.9525 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask) + (net 1 +5V)) + (pad 5 smd rect (at -0.9525 -1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask) + (net 19 GND)) + (pad 4 smd rect (at 0.9525 -1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask) + (net 21 "Net-(C15-Pad1)")) + (model smd/SOT23_5.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.1 0.1 0.1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module QFN56 (layer Back) (tedit 4FCBF74A) (tstamp 4FCE8310) + (at 73.1012 43.8658 270) + (path /4FC8F245) + (fp_text reference IC1 (at 0 -0.50038 270) (layer B.SilkS) hide + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_text value CY7C68013A-56 (at 0.59944 21.69922 270) (layer B.SilkS) hide + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (pad "" smd rect (at 0 0 270) (size 4.8006 5.4991) (layers Back B.Paste B.Mask) + (clearance 0.01016)) + (pad 28 smd rect (at -3.25374 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + (pad 27 smd rect (at -2.75336 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 20 +3V3) (clearance 0.09906)) + (pad 26 smd rect (at -2.25298 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + (pad 25 smd rect (at -1.7526 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 12 /PB7) (clearance 0.09906)) + (pad 24 smd rect (at -1.25476 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 11 /PB6) (clearance 0.09906)) + (pad 23 smd rect (at -0.75438 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 10 /PB5) (clearance 0.09906)) + (pad 22 smd rect (at -0.254 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 9 /PB4) (clearance 0.09906)) + (pad 21 smd rect (at 0.24638 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 8 /PB3) (clearance 0.09906)) + (pad 20 smd rect (at 0.74676 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 7 /PB2) (clearance 0.09906)) + (pad 19 smd rect (at 1.24714 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 6 /PB1) (clearance 0.09906)) + (pad 18 smd rect (at 1.74752 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 5 /PB0) (clearance 0.09906)) + (pad 17 smd rect (at 2.2479 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 20 +3V3) (clearance 0.09906)) + (pad 16 smd rect (at 2.74574 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 15 /SDA) (clearance 0.09906)) + (pad 15 smd rect (at 3.24612 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 14 /SCL) (clearance 0.09906)) + (pad 29 smd rect (at -3.85318 -3.24612 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 30 smd rect (at -3.85318 -2.74574 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 31 smd rect (at -3.85318 -2.24536 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 32 smd rect (at -3.85318 -1.74498 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 20 +3V3) (clearance 0.09906)) + (pad 33 smd rect (at -3.85318 -1.2446 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 4 /PA0) (clearance 0.09906)) + (pad 34 smd rect (at -3.85318 -0.74422 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 35 smd rect (at -3.85318 -0.24384 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 36 smd rect (at -3.85318 0.254 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 37 smd rect (at -3.85318 0.75438 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 38 smd rect (at -3.85318 1.25476 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 39 smd rect (at -3.85318 1.75514 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 40 smd rect (at -3.85318 2.25552 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 41 smd rect (at -3.85318 2.7559 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + (pad 42 smd rect (at -3.85318 3.25374 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 13 /RESET#) (clearance 0.09906)) + (pad 43 smd rect (at -3.25374 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 20 +3V3) (clearance 0.09906)) + (pad 44 smd rect (at -2.80162 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 16 /WAKEUP) (clearance 0.09906)) + (pad 45 smd rect (at -2.30378 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 46 smd rect (at -1.8034 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 47 smd rect (at -1.30302 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 48 smd rect (at -0.80264 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 49 smd rect (at -0.30226 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 50 smd rect (at 0.19812 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 51 smd rect (at 0.6985 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 52 smd rect (at 1.19634 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 53 smd rect (at 1.69672 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + (pad 54 smd rect (at 2.1971 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 55 smd rect (at 2.69748 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 20 +3V3) (clearance 0.09906)) + (pad 56 smd rect (at 3.19786 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + (pad 1 smd rect (at 3.7973 3.25628 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 2 smd rect (at 3.7973 2.7559 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 3 smd rect (at 3.7973 2.25552 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 20 +3V3) (clearance 0.09906)) + (pad 4 smd rect (at 3.7973 1.75514 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 18 /XTALOUT) (clearance 0.09906)) + (pad 5 smd rect (at 3.7973 1.25476 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 17 /XTALIN) (clearance 0.09906)) + (pad 6 smd rect (at 3.7973 0.75438 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + (pad 7 smd rect (at 3.7973 0.254 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 20 +3V3) (clearance 0.09906)) + (pad 8 smd rect (at 3.7973 -0.24384 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 3 /DP) (clearance 0.09906)) + (pad 9 smd rect (at 3.7973 -0.74422 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 2 /DM) (clearance 0.09906)) + (pad 10 smd rect (at 3.7973 -1.2446 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + (pad 11 smd rect (at 3.7973 -1.74498 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 20 +3V3) (clearance 0.09906)) + (pad 12 smd rect (at 3.7973 -2.24536 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + (pad 13 smd rect (at 3.7973 -2.74574 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (clearance 0.09906)) + (pad 14 smd rect (at 3.7973 -3.24612 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + ) + + (module SM0402 (layer Back) (tedit 4FCE9096) (tstamp 4FCBF580) + (at 79.883 39.37 270) + (path /4FCAA863) + (attr smd) + (fp_text reference C16 (at 0 0 270) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 2.2uF (at 0 0.635 270) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Front) (tedit 4FCE90A1) (tstamp 4FCBF570) + (at 78.74 38.989 180) + (path /4FCAA86B) + (attr smd) + (fp_text reference C15 (at -0.04826 0.0508 180) (layer F.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_text value 100nF (at 0 -0.635 180) (layer F.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 21 "Net-(C15-Pad1)")) + (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE909B) (tstamp 4FCBF56E) + (at 75.311 38.481) + (path /4FCA97A0) + (attr smd) + (fp_text reference C13 (at 0 -0.0508) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 100nF (at 0 0.635) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE9094) (tstamp 4FCBF56A) + (at 71.12 38.862 180) + (path /4FCAA3E6) + (attr smd) + (fp_text reference R2 (at 0 0 180) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 2K7 (at 0 0.635 180) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 13 /RESET#)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE90AA) (tstamp 4FCBF54C) + (at 78.74 38.862 270) + (path /4FCA9724) + (attr smd) + (fp_text reference C10 (at -0.0508 -0.0508 270) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 100nF (at 0 0.635 270) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE9060) (tstamp 4FCE905B) + (at 75.692 50.165) + (path /4FCA9793) + (attr smd) + (fp_text reference C7 (at 0 -0.0508) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 100nF (at 0 0.635) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE9076) (tstamp 4FCBF564) + (at 75.692 48.768) + (path /4FCA96AB) + (attr smd) + (fp_text reference C2 (at -0.00254 -0.00254) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 100nF (at 0 0.635) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE907D) (tstamp 515DB414) + (at 68.3006 46.0502 90) + (path /4FCA9799) + (attr smd) + (fp_text reference C12 (at 0 0 90) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE9086) (tstamp 4FCBF560) + (at 74.295 49.149 90) + (path /4FCA9C86) + (attr smd) + (fp_text reference C11 (at -0.00254 0 90) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE90AC) (tstamp 4FCBF55E) + (at 77.4065 49.0855 90) + (path /4FCA9C79) + (attr smd) + (fp_text reference C6 (at 0 0.0508 90) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 2.2uF (at 0 0.635 90) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE908E) (tstamp 4FCBF55C) + (at 71.882 48.895) + (path /4FC8F6F8) + (attr smd) + (fp_text reference C4 (at 0 0) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 12pF (at 0 0.635) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 17 /XTALIN)) + (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE90AE) (tstamp 4FCBF55A) + (at 68.3006 47.85106 90) + (path /4FC8F6F5) + (attr smd) + (fp_text reference C9 (at 0 0 90) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 12pF (at 0 0.635 90) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 18 /XTALOUT)) + (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Front) (tedit 4FCE9081) (tstamp 4FCE9F16) + (at 68.834 38.862) + (path /4FCBEB98) + (attr smd) + (fp_text reference C1 (at 0 0.04826) (layer F.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_text value 1uF (at 0 -0.635) (layer F.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE9556) (tstamp 4FCBF556) + (at 68.834 38.608 180) + (path /4FCA95B2) + (attr smd) + (fp_text reference R1 (at 0 0 180) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 100K (at 0 0.635 180) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 16 /WAKEUP)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Front) (tedit 4FCE90B3) (tstamp 4FCBF552) + (at 79.375 48.26) + (path /4FCA93BD) + (attr smd) + (fp_text reference R4 (at 0 0.0508) (layer F.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_text value 2K7 (at 0 -0.635) (layer F.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 15 /SDA)) + (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 20 +3V3)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Front) (tedit 4FCE905E) (tstamp 4FCBF550) + (at 71.882 50.165 180) + (path /4FCA9C5D) + (attr smd) + (fp_text reference C3 (at -0.00254 -0.0508 180) (layer F.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_text value 2.2uF (at 0 -0.635 180) (layer F.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762))) + ) + (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE9065) (tstamp 4FCBF54E) + (at 73.279 49.784 90) + (path /4FCA9C7E) + (attr smd) + (fp_text reference C8 (at 0 -0.0508 90) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 19 GND)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 4FCE90A9) (tstamp 4FCBF56C) + (at 78.74 49.5935 270) + (path /5151D52F) + (attr smd) + (fp_text reference R3 (at 0 0.00254 270) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 2K7 (at 0 0.635 270) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 14 /SCL)) + (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 20 +3V3)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module SM0402 (layer Back) (tedit 5151D5A0) (tstamp 5151D57D) + (at 73.406 38.735) + (path /4FCAAEBE) + (attr smd) + (fp_text reference R5 (at 0 0) (layer B.SilkS) + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_text value 2K7 (at 0 0.635) (layer B.SilkS) hide + (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror)) + ) + (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127)) + (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127)) + (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 22 "Net-(D1-Pad1)")) + (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask) + (net 4 /PA0)) + (model smd\chip_cms.wrl + (at (xyz 0 0 0.002)) + (scale (xyz 0.05 0.05 0.05)) + (rotate (xyz 0 0 0)) + ) + ) + + (module ABM11 (layer Back) (tedit 5962A342) (tstamp 596295DB) + (at 69.977 49.4792 90) + (path /5962A5CC) + (fp_text reference Y1 (at 0 -6.72592 90) (layer B.SilkS) hide + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_text value 24MHz (at 0 4.7498 90) (layer B.SilkS) hide + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (pad 1 smd rect (at -0.65024 -0.50038 90) (size 0.7493 0.65024) (layers Back B.Paste B.Mask) + (net 17 /XTALIN) (clearance 0.09906)) + (pad 2 smd rect (at 0.6477 -0.50038 90) (size 0.7493 0.65024) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + (pad 3 smd rect (at 0.6477 0.50038 90) (size 0.7493 0.65024) (layers Back B.Paste B.Mask) + (net 18 /XTALOUT) (clearance 0.09906)) + (pad 4 smd rect (at -0.65024 0.50038 90) (size 0.7493 0.65024) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.09906)) + ) + + (module fx2grok-tiny:MICRO-USB-B-SMD (layer Front) (tedit 59AEE3E8) (tstamp 4FCBF583) + (at 70.85076 44.74972 270) + (path /4FCAA5E6) + (fp_text reference U1 (at 0.14986 6.52018 270) (layer F.SilkS) hide + (effects (font (thickness 0.3048))) + ) + (fp_text value MICRO-USB-B-SMD (at -0.03048 -5.1689 270) (layer F.SilkS) hide + (effects (font (thickness 0.3048))) + ) + (pad 1 smd rect (at -1.30048 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask) + (net 1 +5V) (clearance 0.09906)) + (pad 2 smd rect (at -0.65024 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask) + (net 2 /DM) (clearance 0.09906)) + (pad 3 smd rect (at 0 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask) + (net 3 /DP) (clearance 0.09906)) + (pad 4 smd rect (at 0.65024 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask) + (clearance 0.09906)) + (pad 5 smd rect (at 1.30048 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask) + (net 19 GND) (clearance 0.09906)) + (pad 5 smd rect (at -3.0988 -0.8509 270) (size 2.10058 1.6002) (layers Front F.Paste F.Mask) + (net 19 GND) (clearance 0.29972)) + (pad 5 smd rect (at 3.10134 -0.8509 270) (size 2.10058 1.6002) (layers Front F.Paste F.Mask) + (net 19 GND) (clearance 0.29972)) + (pad 5 smd rect (at 3.8989 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask) + (net 19 GND) (clearance 0.29972)) + (pad 5 smd rect (at 1.19888 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask) + (net 19 GND) (clearance 0.29972)) + (pad 5 smd rect (at -1.19888 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask) + (net 19 GND) (clearance 0.29972)) + (pad 5 smd rect (at -3.8989 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask) + (net 19 GND) (clearance 0.29972)) + ) + + (module fx2grok-tiny:CONN-5x1-SMD-127MM (layer Back) (tedit 59B14D2B) (tstamp 5151CF2A) + (at 79.121 43.307 270) + (path /59637927) + (fp_text reference P2 (at 0.0762 -9.42594 270) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (fp_text value CONN_01X05 (at -0.0508 8.8011 270) (layer B.SilkS) + (effects (font (thickness 0.3048)) (justify mirror)) + ) + (pad 1 smd rect (at -2.17932 -0.16002 270) (size 0.75946 2.2003) (layers Back B.Paste B.Mask) + (net 19 GND) (clearance 0.0508)) + (pad 2 smd rect (at -0.90424 -0.16002 270) (size 0.75946 2.2003) (layers Back B.Paste B.Mask) + (net 12 /PB7) (clearance 0.0508)) + (pad 3 smd rect (at 0.37084 -0.16002 270) (size 0.75946 2.2003) (layers Back B.Paste B.Mask) + (net 10 /PB5) (clearance 0.0508)) + (pad 4 smd rect (at 1.64592 -0.16002 270) (size 0.75946 2.2003) (layers Back B.Paste B.Mask) + (net 8 /PB3) (clearance 0.0508)) + (pad 5 smd rect (at 2.921 -0.16002 270) (size 0.75946 2.2003) (layers Back B.Paste B.Mask) + (net 6 /PB1) (clearance 0.0508)) + ) + + (module fx2grok-tiny:CONN-5x1-SMD-127MM (layer Front) (tedit 59B14D2B) (tstamp 4FCD3C8E) + (at 79.121 44.069 90) + (path /596377A2) + (fp_text reference P1 (at 0.0762 9.42594 90) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_text value CONN_01X05 (at -0.0508 -8.8011 90) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (pad 1 smd rect (at -2.17932 0.16002 90) (size 0.75946 2.2003) (layers Front F.Paste F.Mask) + (net 5 /PB0) (clearance 0.0508)) + (pad 2 smd rect (at -0.90424 0.16002 90) (size 0.75946 2.2003) (layers Front F.Paste F.Mask) + (net 7 /PB2) (clearance 0.0508)) + (pad 3 smd rect (at 0.37084 0.16002 90) (size 0.75946 2.2003) (layers Front F.Paste F.Mask) + (net 9 /PB4) (clearance 0.0508)) + (pad 4 smd rect (at 1.64592 0.16002 90) (size 0.75946 2.2003) (layers Front F.Paste F.Mask) + (net 11 /PB6) (clearance 0.0508)) + (pad 5 smd rect (at 2.921 0.16002 90) (size 0.75946 2.2003) (layers Front F.Paste F.Mask) + (net 19 GND) (clearance 0.0508)) + ) + + (gr_text "tiny 0.1" (at 76.454 44.45 90) (layer Front) + (effects (font (size 0.762 0.508) (thickness 0.127))) + ) + (gr_text fx2grok (at 75.184 44.45 90) (layer Front) + (effects (font (size 0.889 0.635) (thickness 0.127))) + ) + (gr_line (start 79.70012 50.7492) (end 80.70088 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254)) + (gr_line (start 79.70012 37.74948) (end 80.70088 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254)) + (gr_line (start 80.70088 38.75024) (end 80.70088 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254)) + (gr_line (start 67.70116 38.75024) (end 67.70116 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254)) + (gr_line (start 79.70012 37.74948) (end 67.70116 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254)) + (gr_line (start 80.70088 50.7492) (end 80.70088 38.75024) (angle 90) (layer Edge.Cuts) (width 0.0254)) + (gr_line (start 67.70116 50.7492) (end 79.70012 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254)) + (gr_line (start 67.70116 38.75024) (end 67.70116 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254)) + + (segment (start 73.88098 40.44696) (end 73.88098 40.2336) (width 0.127) (layer Front) (net 1)) + (segment (start 73.88098 40.2336) (end 75.37958 38.735) (width 0.127) (layer Front) (net 1) (tstamp 59B03C5D)) + (segment (start 71.80072 43.44924) (end 72.37476 43.44924) (width 0.127) (layer Front) (net 1) (status 30)) + (segment (start 72.37476 43.44924) (end 72.39 43.434) (width 0.127) (layer Front) (net 1) (tstamp 59B01343) (status 30)) + (segment (start 72.39 43.434) (end 72.644 43.434) (width 0.127) (layer Front) (net 1) (tstamp 59B01345) (status 10)) + (segment (start 72.644 43.434) (end 73.025 43.053) (width 0.127) (layer Front) (net 1) (tstamp 59B01347)) + (segment (start 73.025 43.053) (end 73.025 42.545) (width 0.127) (layer Front) (net 1) (tstamp 59B0134E)) + (segment (start 73.025 42.545) (end 73.21804 42.35196) (width 0.127) (layer Front) (net 1) (tstamp 59B0134F)) + (segment (start 73.21804 42.35196) (end 73.88098 42.35196) (width 0.127) (layer Front) (net 1) (tstamp 59B01351)) + (segment (start 75.311 38.80358) (end 75.37958 38.735) (width 0.127) (layer Front) (net 1) (tstamp 59B004F6) (status 30)) + (segment (start 73.88098 40.44696) (end 74.89698 40.44696) (width 0.127) (layer Front) (net 1)) + (segment (start 75.30084 40.85082) (end 75.30084 41.84904) (width 0.127) (layer Front) (net 1)) + (segment (start 74.89698 40.44696) (end 75.30084 40.85082) (width 0.127) (layer Front) (net 1)) + (segment (start 75.30084 41.84904) (end 74.79792 42.35196) (width 0.127) (layer Front) (net 1)) + (segment (start 74.79792 42.35196) (end 73.88098 42.35196) (width 0.127) (layer Front) (net 1)) + (segment (start 73.75398 46.8249) (end 73.8505 46.8249) (width 0.127) (layer Front) (net 2)) + (segment (start 73.1774 45.15104) (end 73.1774 46.24832) (width 0.127) (layer Front) (net 2)) + (segment (start 73.84542 46.82998) (end 73.84542 47.6631) (width 0.127) (layer Back) (net 2) (status 20)) + (segment (start 72.75068 44.72432) (end 73.1774 45.15104) (width 0.127) (layer Front) (net 2)) + (segment (start 72.75068 44.42714) (end 72.75068 44.72432) (width 0.127) (layer Front) (net 2)) + (segment (start 73.1774 46.24832) (end 73.75398 46.8249) (width 0.127) (layer Front) (net 2)) + (segment (start 72.42302 44.09948) (end 72.75068 44.42714) (width 0.127) (layer Front) (net 2) (status 10)) + (segment (start 73.8505 46.8249) (end 73.84542 46.82998) (width 0.127) (layer Back) (net 2)) + (segment (start 71.80072 44.09948) (end 72.42302 44.09948) (width 0.127) (layer Front) (net 2) (status 30)) + (via (at 73.8505 46.8249) (size 0.44958) (layers Front Back) (net 2)) + (segment (start 72.82434 45.22216) (end 72.82434 46.55058) (width 0.127) (layer Front) (net 3)) + (segment (start 73.14946 46.8757) (end 73.34504 47.07128) (width 0.127) (layer Back) (net 3)) + (segment (start 71.80072 44.74972) (end 72.34936 44.74972) (width 0.127) (layer Front) (net 3) (status 30)) + (segment (start 73.34504 47.07128) (end 73.34504 47.6631) (width 0.127) (layer Back) (net 3) (status 20)) + (segment (start 72.72782 45.12564) (end 72.82434 45.22216) (width 0.127) (layer Front) (net 3)) + (segment (start 72.72528 45.12564) (end 72.72782 45.12564) (width 0.127) (layer Front) (net 3)) + (segment (start 72.34936 44.74972) (end 72.72528 45.12564) (width 0.127) (layer Front) (net 3) (status 10)) + (via (at 73.14946 46.8757) (size 0.44958) (layers Front Back) (net 3)) + (segment (start 72.82434 46.55058) (end 73.14946 46.8757) (width 0.127) (layer Front) (net 3)) + (segment (start 74.3458 40.01262) (end 74.3458 39.35222) (width 0.127) (layer Back) (net 4) (status 10)) + (segment (start 74.3458 39.35222) (end 73.85558 38.735) (width 0.127) (layer Back) (net 4) (tstamp 59B00F08) (status 20)) + (segment (start 77.47 45.6438) (end 77.43952 45.61332) (width 0.127) (layer Back) (net 5)) + (segment (start 77.43952 45.61332) (end 76.94676 45.61332) (width 0.127) (layer Back) (net 5) (tstamp 59B041CB)) + (segment (start 78.105 46.2788) (end 79.45882 46.2788) (width 0.127) (layer Front) (net 5) (tstamp 59AEEAD0)) + (segment (start 77.8002 45.974) (end 78.105 46.2788) (width 0.127) (layer Front) (net 5) (tstamp 59AEEACF)) + (via (at 77.8002 45.974) (size 0.44958) (drill 0.20066) (layers Front Back) (net 5)) + (segment (start 77.47254 45.64634) (end 77.47 45.6438) (width 0.127) (layer Back) (net 5) (tstamp 59AEEACA)) + (segment (start 77.47 45.6438) (end 77.8002 45.974) (width 0.127) (layer Back) (net 5) (tstamp 59B041C9)) + (segment (start 77.4065 45.1485) (end 77.37094 45.11294) (width 0.127) (layer Back) (net 6)) + (segment (start 77.37094 45.11294) (end 76.94676 45.11294) (width 0.127) (layer Back) (net 6) (tstamp 59B041D1)) + (segment (start 78.232 45.847) (end 78.65618 46.27118) (width 0.127) (layer Back) (net 6) (tstamp 59B0118B)) + (segment (start 78.232 45.72) (end 78.232 45.847) (width 0.127) (layer Back) (net 6) (tstamp 59B01187)) + (segment (start 77.978 45.466) (end 78.232 45.72) (width 0.127) (layer Back) (net 6) (tstamp 59B01186)) + (segment (start 77.724 45.466) (end 77.978 45.466) (width 0.127) (layer Back) (net 6) (tstamp 59B01185)) + (segment (start 77.40396 45.14596) (end 77.4065 45.1485) (width 0.127) (layer Back) (net 6) (tstamp 59B01183)) + (segment (start 77.4065 45.1485) (end 77.724 45.466) (width 0.127) (layer Back) (net 6) (tstamp 59B041CF)) + (segment (start 78.65618 46.27118) (end 79.45882 46.27118) (width 0.127) (layer Back) (net 6) (tstamp 59B01193)) + (segment (start 77.58938 44.64558) (end 77.55636 44.61256) (width 0.127) (layer Back) (net 7)) + (segment (start 77.58938 44.64558) (end 77.8002 44.8564) (width 0.127) (layer Back) (net 7) (tstamp 59AEEC6F)) + (via (at 77.8002 44.8564) (size 0.44958) (drill 0.20066) (layers Front Back) (net 7)) + (segment (start 77.8002 44.8564) (end 77.94752 45.00372) (width 0.127) (layer Front) (net 7) (tstamp 59AEEC72)) + (segment (start 79.45882 45.00372) (end 77.94752 45.00372) (width 0.127) (layer Front) (net 7) (tstamp 59AEEC73)) + (segment (start 77.55636 44.61256) (end 76.94676 44.61256) (width 0.127) (layer Back) (net 7) (tstamp 59B041D5)) + (segment (start 77.4192 44.1452) (end 77.38618 44.11218) (width 0.127) (layer Back) (net 8)) + (segment (start 77.4192 44.1452) (end 77.597 44.323) (width 0.127) (layer Back) (net 8) (tstamp 59B0116B)) + (segment (start 77.597 44.323) (end 77.978 44.323) (width 0.127) (layer Back) (net 8) (tstamp 59B01175)) + (segment (start 78.6511 44.9961) (end 77.978 44.323) (width 0.127) (layer Back) (net 8) (tstamp 59B01176)) + (segment (start 77.38618 44.11218) (end 76.94676 44.11218) (width 0.127) (layer Back) (net 8) (tstamp 59B041DC)) + (segment (start 78.6511 44.9961) (end 79.45882 44.9961) (width 0.127) (layer Back) (net 8) (tstamp 59B01177)) + (segment (start 76.94676 43.6118) (end 77.6478 43.6118) (width 0.127) (layer Back) (net 9)) + (segment (start 77.73416 43.69816) (end 79.28102 43.69816) (width 0.127) (layer Front) (net 9) (tstamp 59B14F3F)) + (segment (start 77.724 43.688) (end 77.73416 43.69816) (width 0.127) (layer Front) (net 9) (tstamp 59B14F3E)) + (via (at 77.724 43.688) (size 0.44958) (drill 0.20066) (layers Front Back) (net 9)) + (segment (start 77.6478 43.6118) (end 77.724 43.688) (width 0.127) (layer Back) (net 9) (tstamp 59B14F3A)) + (segment (start 77.851 43.18) (end 77.78242 43.11142) (width 0.127) (layer Back) (net 10)) + (segment (start 77.78242 43.11142) (end 76.94676 43.11142) (width 0.127) (layer Back) (net 10) (tstamp 59B041E6)) + (segment (start 77.81544 43.14444) (end 77.851 43.18) (width 0.127) (layer Back) (net 10) (tstamp 59B01132)) + (segment (start 77.851 43.18) (end 78.39202 43.72102) (width 0.127) (layer Back) (net 10) (tstamp 59B041E4)) + (segment (start 78.39202 43.72102) (end 79.45882 43.72102) (width 0.127) (layer Back) (net 10) (tstamp 59B01133)) + (segment (start 77.8002 42.6466) (end 77.76464 42.61104) (width 0.127) (layer Back) (net 11)) + (segment (start 77.76464 42.61104) (end 76.94676 42.61104) (width 0.127) (layer Back) (net 11) (tstamp 59B041EA)) + (segment (start 77.99324 42.45356) (end 79.45882 42.45356) (width 0.127) (layer Front) (net 11) (tstamp 59AEEC21)) + (segment (start 77.8002 42.6466) (end 77.99324 42.45356) (width 0.127) (layer Front) (net 11) (tstamp 59AEEC20)) + (via (at 77.8002 42.6466) (size 0.44958) (drill 0.20066) (layers Front Back) (net 11)) + (segment (start 77.79766 42.64406) (end 77.8002 42.6466) (width 0.127) (layer Back) (net 11) (tstamp 59AEEC1B)) + (segment (start 77.978 42.164) (end 77.9272 42.1132) (width 0.127) (layer Back) (net 12)) + (segment (start 77.9272 42.1132) (end 76.94676 42.1132) (width 0.127) (layer Back) (net 12) (tstamp 59B041F0)) + (segment (start 77.96022 42.14622) (end 77.978 42.164) (width 0.127) (layer Back) (net 12) (tstamp 59B01151)) + (segment (start 77.978 42.164) (end 78.25994 42.44594) (width 0.127) (layer Back) (net 12) (tstamp 59B041EE)) + (segment (start 78.25994 42.44594) (end 79.45882 42.44594) (width 0.127) (layer Back) (net 12) (tstamp 59B01154)) + (segment (start 78.25994 42.44594) (end 79.45882 42.44594) (width 0.127) (layer Back) (net 12) (tstamp 59B01147)) + (segment (start 78.84668 42.44594) (end 79.45882 42.44594) (width 0.127) (layer Back) (net 12)) + (segment (start 69.84746 40.01262) (end 69.84746 39.37254) (width 0.127) (layer Back) (net 13) (status 10)) + (segment (start 69.84746 39.37254) (end 70.485 38.862) (width 0.127) (layer Back) (net 13) (tstamp 59B00F53) (status 20)) + (segment (start 70.485 38.862) (end 70.67042 38.862) (width 0.127) (layer Back) (net 13) (tstamp 59B00F54) (status 30)) + (segment (start 77.75194 47.14494) (end 77.71892 47.11192) (width 0.127) (layer Back) (net 14)) + (segment (start 78.74 48.768) (end 77.978 48.006) (width 0.127) (layer Back) (net 14) (tstamp 59AF2D77)) + (segment (start 77.978 48.006) (end 77.978 47.371) (width 0.127) (layer Back) (net 14) (tstamp 59AF2D78)) + (segment (start 77.978 47.371) (end 77.75194 47.14494) (width 0.127) (layer Back) (net 14) (tstamp 59AF2D7A)) + (segment (start 78.74 49.14392) (end 78.74 48.768) (width 0.127) (layer Back) (net 14)) + (segment (start 77.71892 47.11192) (end 76.94676 47.11192) (width 0.127) (layer Back) (net 14) (tstamp 59B041C2)) + (segment (start 77.88656 46.64456) (end 77.85354 46.61154) (width 0.127) (layer Back) (net 15)) + (via (at 78.613 47.371) (size 0.44958) (drill 0.20066) (layers Front Back) (net 15)) + (segment (start 78.613 47.371) (end 78.92542 47.68342) (width 0.127) (layer Front) (net 15) (tstamp 59B00093)) + (segment (start 78.92542 48.26) (end 78.92542 47.68342) (width 0.127) (layer Front) (net 15) (tstamp 59B00094)) + (segment (start 77.88656 46.64456) (end 78.613 47.371) (width 0.127) (layer Back) (net 15) (tstamp 59B00087)) + (segment (start 77.85354 46.61154) (end 76.94676 46.61154) (width 0.127) (layer Back) (net 15) (tstamp 59B041BE)) + (segment (start 78.92542 48.26) (end 78.92542 48.06442) (width 0.127) (layer Front) (net 15) (status 30)) + (segment (start 68.453 40.894) (end 68.62318 41.06418) (width 0.127) (layer Back) (net 16)) + (segment (start 68.453 38.67658) (end 68.453 40.894) (width 0.127) (layer Back) (net 16) (tstamp 59B0107D)) + (segment (start 68.62318 41.06418) (end 69.24548 41.06418) (width 0.127) (layer Back) (net 16) (tstamp 59B0420B)) + (segment (start 68.38442 38.608) (end 68.453 38.67658) (width 0.127) (layer Back) (net 16)) + (segment (start 69.47662 50.12944) (end 69.47662 49.85258) (width 0.127) (layer Back) (net 17) (status 30)) + (segment (start 69.47662 49.85258) (end 69.85 49.53) (width 0.127) (layer Back) (net 17) (tstamp 59637443) (status 10)) + (segment (start 69.85 49.53) (end 71.247 49.53) (width 0.127) (layer Back) (net 17) (tstamp 59637444)) + (segment (start 71.247 49.53) (end 71.43242 49.34458) (width 0.127) (layer Back) (net 17) (tstamp 59637445)) + (segment (start 71.43242 49.34458) (end 71.43242 48.895) (width 0.127) (layer Back) (net 17) (tstamp 59637446)) + (segment (start 71.43242 48.895) (end 71.43242 48.70958) (width 0.127) (layer Back) (net 17)) + (segment (start 71.43242 48.70958) (end 71.84644 48.29556) (width 0.127) (layer Back) (net 17) (tstamp 5962A5AB)) + (segment (start 71.84644 48.29556) (end 71.84644 47.6631) (width 0.127) (layer Back) (net 17) (tstamp 5962A5AE) (status 20)) + (segment (start 70.47738 48.8315) (end 70.47738 48.58258) (width 0.127) (layer Back) (net 18) (status 30)) + (segment (start 70.47738 48.58258) (end 70.104 48.2346) (width 0.127) (layer Back) (net 18) (tstamp 596374C8) (status 10)) + (segment (start 70.104 48.2346) (end 68.34124 48.2346) (width 0.127) (layer Back) (net 18) (tstamp 596374CA)) + (segment (start 70.47738 48.8315) (end 70.4977 48.8315) (width 0.127) (layer Back) (net 18) (status 30)) + (segment (start 70.4977 48.8315) (end 71.34606 48.00092) (width 0.127) (layer Back) (net 18) (tstamp 5963744A) (status 20)) + (segment (start 71.34606 48.00092) (end 71.34606 47.6631) (width 0.127) (layer Back) (net 18) (tstamp 5963744C) (status 30)) + (segment (start 71.34606 47.6631) (end 71.34606 48.00092) (width 0.127) (layer Back) (net 18) (status 30)) + (segment (start 77.343 38.41242) (end 76.51242 38.41242) (width 0.127) (layer Back) (net 19)) + (segment (start 76.51242 38.41242) (end 76.454 38.354) (width 0.127) (layer Back) (net 19) (tstamp 59B00DB7)) + (segment (start 75.692 46.99) (end 75.7428 46.9392) (width 0.127) (layer Back) (net 19)) + (segment (start 74.549 46.736) (end 74.3458 46.9392) (width 0.127) (layer Back) (net 19) (tstamp 59AEE9AA)) + (via (at 74.549 46.736) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) + (segment (start 75.946 46.736) (end 74.549 46.736) (width 0.127) (layer Front) (net 19) (tstamp 59AEE9A7)) + (segment (start 76.1746 46.9392) (end 75.946 46.736) (width 0.127) (layer Front) (net 19) (tstamp 59AEE9A6)) + (via (at 76.1746 46.9392) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) + (segment (start 75.34656 47.33544) (end 75.692 46.99) (width 0.127) (layer Back) (net 19) (tstamp 59AEE996)) + (segment (start 74.3458 46.9392) (end 74.3458 47.6631) (width 0.127) (layer Back) (net 19) (tstamp 59AEE9AB) (status 20)) + (segment (start 75.7428 46.9392) (end 76.1746 46.9392) (width 0.127) (layer Back) (net 19) (tstamp 59B04241)) + (segment (start 68.3006 45.60062) (end 68.3387 45.56252) (width 0.127) (layer Back) (net 19)) + (segment (start 68.3387 45.56252) (end 69.24548 45.56252) (width 0.127) (layer Back) (net 19) (tstamp 59B04235)) + (segment (start 68.58254 47.11954) (end 68.63842 47.06366) (width 0.127) (layer Back) (net 19)) + (segment (start 68.63842 47.06366) (end 69.24548 47.06366) (width 0.127) (layer Back) (net 19) (tstamp 59B04224)) + (segment (start 70.3453 40.64) (end 70.3453 40.72128) (width 0.127) (layer Back) (net 19)) + (segment (start 70.3453 40.72128) (end 70.50024 40.87622) (width 0.127) (layer Back) (net 19) (tstamp 59B04206)) + (segment (start 77.597 41.656) (end 77.724 41.529) (width 0.127) (layer Back) (net 19)) + (segment (start 76.94676 40.61206) (end 77.56906 40.61206) (width 0.127) (layer Back) (net 19) (tstamp 59B041FA)) + (segment (start 77.724 40.767) (end 77.56906 40.61206) (width 0.127) (layer Back) (net 19) (tstamp 59B041F9)) + (segment (start 77.47 41.656) (end 77.597 41.656) (width 0.127) (layer Back) (net 19) (tstamp 59B041F6)) + (segment (start 77.343 41.656) (end 77.47 41.656) (width 0.127) (layer Back) (net 19) (tstamp 59B041F5)) + (segment (start 77.343 41.656) (end 76.98994 41.656) (width 0.127) (layer Back) (net 19) (tstamp 59B041F4)) + (segment (start 77.724 41.529) (end 77.724 40.767) (width 0.127) (layer Back) (net 19) (tstamp 59B04201)) + (segment (start 68.707 49.149) (end 69.0245 48.8315) (width 0.127) (layer Back) (net 19)) + (segment (start 69.1515 48.7045) (end 68.707 49.149) (width 0.127) (layer Front) (net 19) (tstamp 596374AE) (status 30)) + (via (at 68.707 49.149) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19) (status 30)) + (segment (start 69.0245 48.8315) (end 69.47662 48.8315) (width 0.127) (layer Back) (net 19) (tstamp 59B041B8)) + (segment (start 71.882 49.53) (end 71.28256 50.12944) (width 0.127) (layer Back) (net 19)) + (segment (start 71.28256 50.12944) (end 70.47738 50.12944) (width 0.127) (layer Back) (net 19) (tstamp 59B041B1)) + (segment (start 70.92442 38.735) (end 69.41058 38.735) (width 0.127) (layer Front) (net 19) (status 10)) + (segment (start 69.41058 38.735) (end 69.28358 38.862) (width 0.127) (layer Front) (net 19) (tstamp 59B03CAD)) + (segment (start 74.48042 38.735) (end 74.48042 38.29558) (width 0.127) (layer Front) (net 19)) + (segment (start 76.2 38.1) (end 76.454 38.354) (width 0.127) (layer Front) (net 19) (tstamp 59B03C51)) + (segment (start 74.676 38.1) (end 76.2 38.1) (width 0.127) (layer Front) (net 19) (tstamp 59B03C50)) + (segment (start 74.48042 38.29558) (end 74.676 38.1) (width 0.127) (layer Front) (net 19) (tstamp 59B03C4F)) + (segment (start 71.70166 41.65092) (end 71.70166 40.43934) (width 0.127) (layer Front) (net 19) (status 10)) + (segment (start 73.71842 39.43858) (end 74.48042 38.735) (width 0.127) (layer Front) (net 19) (tstamp 59B00F17) (status 20)) + (segment (start 73.279 39.878) (end 73.71842 39.43858) (width 0.127) (layer Front) (net 19) (tstamp 59B00F16)) + (segment (start 72.263 39.878) (end 73.279 39.878) (width 0.127) (layer Front) (net 19) (tstamp 59B00F14)) + (segment (start 71.70166 40.43934) (end 72.263 39.878) (width 0.127) (layer Front) (net 19) (tstamp 59B00F13)) + (segment (start 77.47 40.005) (end 78.29042 39.18458) (width 0.127) (layer Front) (net 19)) + (via (at 77.47 40.005) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) + (segment (start 78.29042 39.18458) (end 78.29042 38.989) (width 0.127) (layer Front) (net 19) (tstamp 59B00EA9)) + (segment (start 76.94676 40.61206) (end 76.94676 40.49522) (width 0.127) (layer Back) (net 19) (status 30)) + (segment (start 76.94676 40.49522) (end 77.47 40.005) (width 0.127) (layer Back) (net 19) (tstamp 59B00E8A) (status 10)) + (segment (start 74.48042 38.735) (end 74.48042 38.54958) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 77.47 40.005) (end 77.47 40.05072) (width 0.127) (layer Back) (net 19) (tstamp 59AFFBC6)) + (segment (start 77.47 40.05072) (end 77.47 40.005) (width 0.127) (layer Back) (net 19) (tstamp 59AFFBC7)) + (segment (start 77.47 40.005) (end 77.47 40.05072) (width 0.127) (layer Back) (net 19) (tstamp 59AFFBC9)) + (segment (start 78.82128 40.05072) (end 78.867 40.005) (width 0.127) (layer Back) (net 19) (tstamp 59AF2BB8)) + (segment (start 78.867 40.0685) (end 78.867 40.005) (width 0.127) (layer Back) (net 19) (tstamp 59AF2BD0)) + (segment (start 77.47 40.05072) (end 78.82128 40.05072) (width 0.127) (layer Back) (net 19) (tstamp 59AFFBCA)) + (segment (start 76.454 38.354) (end 75.88758 38.354) (width 0.127) (layer Back) (net 19)) + (segment (start 75.88758 38.354) (end 75.76058 38.481) (width 0.127) (layer Back) (net 19) (tstamp 59B00DCB)) + (segment (start 77.089 38.989) (end 78.29042 38.989) (width 0.127) (layer Front) (net 19) (tstamp 59B00DBE)) + (segment (start 76.454 38.354) (end 77.089 38.989) (width 0.127) (layer Front) (net 19) (tstamp 59B00DBD)) + (via (at 76.454 38.354) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) + (segment (start 71.70166 41.65092) (end 71.70166 40.62476) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 69.28358 38.862) (end 69.1515 38.99408) (width 0.127) (layer Front) (net 19)) + (segment (start 69.1515 38.99408) (end 69.1515 40.85082) (width 0.127) (layer Front) (net 19) (tstamp 59B004C5) (status 20)) + (segment (start 74.48042 38.735) (end 74.48042 38.67658) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 79.883 39.81958) (end 79.63408 40.0685) (width 0.127) (layer Back) (net 19)) + (segment (start 79.63408 40.0685) (end 78.867 40.0685) (width 0.127) (layer Back) (net 19) (tstamp 59B00244)) + (segment (start 78.74 39.31158) (end 78.74 39.9415) (width 0.127) (layer Back) (net 19)) + (segment (start 78.74 39.9415) (end 78.867 40.0685) (width 0.127) (layer Back) (net 19) (tstamp 59B00239)) + (segment (start 78.29042 38.989) (end 78.29042 38.92042) (width 0.127) (layer Front) (net 19)) + (segment (start 69.24548 47.06366) (end 69.24548 47.72152) (width 0.127) (layer Back) (net 19) (status 10)) + (segment (start 69.215 47.752) (end 69.1515 47.8155) (width 0.127) (layer Front) (net 19) (tstamp 59AEE64B) (status 30)) + (via (at 69.215 47.752) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19) (status 30)) + (segment (start 69.24548 47.72152) (end 69.215 47.752) (width 0.127) (layer Back) (net 19) (tstamp 59AEE646)) + (segment (start 76.14158 48.768) (end 76.073 48.768) (width 0.127) (layer Back) (net 19)) + (segment (start 76.073 48.768) (end 75.34656 48.00854) (width 0.127) (layer Back) (net 19) (tstamp 59AFC597) (status 20)) + (segment (start 75.34656 48.00854) (end 75.34656 47.6631) (width 0.127) (layer Back) (net 19) (tstamp 59AFC599) (status 30)) + (segment (start 76.14158 48.57242) (end 76.34732 48.36668) (width 0.127) (layer Back) (net 19) (tstamp 5962A706)) + (segment (start 76.34732 48.36668) (end 76.34732 47.6631) (width 0.127) (layer Back) (net 19) (tstamp 5962A707) (status 20)) + (segment (start 75.34656 47.6631) (end 75.34656 48.00854) (width 0.127) (layer Back) (net 19) (status 30)) + (segment (start 76.14158 48.768) (end 77.27442 48.768) (width 0.127) (layer Back) (net 19) (status 20)) + (segment (start 77.27442 48.768) (end 77.4065 48.63592) (width 0.127) (layer Back) (net 19) (tstamp 59AF2D03) (status 30)) + (segment (start 78.867 40.0685) (end 79.1845 40.386) (width 0.127) (layer Front) (net 19)) + (via (at 78.867 40.0685) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) + (segment (start 79.1845 40.386) (end 79.1845 40.90416) (width 0.127) (layer Front) (net 19) (tstamp 59AF2BED)) + (segment (start 79.1845 40.90416) (end 79.45882 41.17848) (width 0.127) (layer Front) (net 19) (tstamp 59AF2BEF)) + (segment (start 79.39786 41.17086) (end 78.867 40.64) (width 0.127) (layer Back) (net 19) (tstamp 59AF2BC1)) + (segment (start 78.867 40.64) (end 78.867 40.0685) (width 0.127) (layer Back) (net 19) (tstamp 59AF2BC6)) + (segment (start 75.34656 47.6631) (end 75.34656 47.33544) (width 0.127) (layer Back) (net 19) (status 10)) + (segment (start 73.279 49.33442) (end 73.279 49.149) (width 0.127) (layer Back) (net 19)) + (segment (start 73.279 49.149) (end 73.72858 48.69942) (width 0.127) (layer Back) (net 19) (tstamp 59AEE912)) + (segment (start 73.72858 48.69942) (end 74.295 48.69942) (width 0.127) (layer Back) (net 19) (tstamp 59AEE913)) + (segment (start 72.33158 48.895) (end 72.33158 48.96358) (width 0.127) (layer Back) (net 19)) + (segment (start 72.33158 48.96358) (end 72.70242 49.33442) (width 0.127) (layer Back) (net 19) (tstamp 59AEE818)) + (segment (start 72.70242 49.33442) (end 73.279 49.33442) (width 0.127) (layer Back) (net 19) (tstamp 59AEE819)) + (segment (start 68.3006 45.60062) (end 68.3006 44.9834) (width 0.127) (layer Back) (net 19)) + (segment (start 68.326 44.958) (end 69.1515 45.7835) (width 0.127) (layer Front) (net 19) (tstamp 59AEE680) (status 20)) + (via (at 68.326 44.958) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) + (segment (start 68.3006 44.9834) (end 68.326 44.958) (width 0.127) (layer Back) (net 19) (tstamp 59AEE67A)) + (segment (start 69.1515 45.7835) (end 69.1515 45.9486) (width 0.127) (layer Front) (net 19) (tstamp 59AEE681) (status 30)) + (segment (start 69.1515 48.64862) (end 69.1515 45.9486) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 69.1515 47.8155) (end 69.1515 48.64862) (width 0.127) (layer Front) (net 19) (tstamp 59AEE64C) (status 30)) + (segment (start 69.1515 45.9486) (end 69.1515 43.55084) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 69.1515 40.85082) (end 69.1515 43.55084) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 70.50024 40.87622) (end 70.485 40.87622) (width 0.127) (layer Front) (net 19)) + (segment (start 70.485 40.87622) (end 69.1769 40.87622) (width 0.127) (layer Front) (net 19) (tstamp 59AFE0E4) (status 20)) + (segment (start 69.1769 40.87622) (end 69.1515 40.85082) (width 0.127) (layer Front) (net 19) (tstamp 59AEE58D) (status 30)) + (segment (start 69.1515 43.7515) (end 69.1515 43.55084) (width 0.127) (layer Front) (net 19) (tstamp 59AEE538) (status 30)) + (segment (start 69.1515 48.64862) (end 70.9041 48.64862) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 70.9041 48.64862) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 19) (tstamp 596374DA) (status 30)) + (segment (start 69.1515 48.7045) (end 69.1515 48.64862) (width 0.127) (layer Front) (net 19) (tstamp 596374AF) (status 30)) + (segment (start 71.43242 50.165) (end 70.66788 50.165) (width 0.127) (layer Front) (net 19)) + (segment (start 70.66788 50.165) (end 69.1515 48.64862) (width 0.127) (layer Front) (net 19) (tstamp 5963748B) (status 20)) + (segment (start 71.43242 50.165) (end 71.43242 49.97958) (width 0.127) (layer Front) (net 19)) + (segment (start 71.43242 49.97958) (end 71.882 49.53) (width 0.127) (layer Front) (net 19) (tstamp 59637458)) + (via (at 71.882 49.53) (size 0.44958) (drill 0.20066) (layers Front Back) (net 19)) + (segment (start 71.882 49.53) (end 72.33158 49.08042) (width 0.127) (layer Back) (net 19) (tstamp 5963745B)) + (segment (start 72.33158 49.08042) (end 72.33158 48.895) (width 0.127) (layer Back) (net 19) (tstamp 5963745C)) + (segment (start 70.9041 48.64862) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 71.80072 47.85106) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 71.80072 47.752) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 71.80072 46.0502) (end 71.80072 47.752) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 76.14158 50.165) (end 76.14158 48.768) (width 0.127) (layer Back) (net 19)) + (segment (start 76.14158 48.768) (end 76.14158 48.57242) (width 0.127) (layer Back) (net 19)) + (segment (start 74.295 48.69942) (end 74.295 47.7139) (width 0.127) (layer Back) (net 19) (status 20)) + (segment (start 74.295 47.7139) (end 74.3458 47.6631) (width 0.127) (layer Back) (net 19) (tstamp 5962A6E3) (status 30)) + (segment (start 72.33158 48.895) (end 72.33158 47.67834) (width 0.127) (layer Back) (net 19) (status 20)) + (segment (start 72.33158 47.67834) (end 72.34682 47.6631) (width 0.127) (layer Back) (net 19) (tstamp 5962A5B2) (status 30)) + (segment (start 71.95312 41.39946) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 73.88098 41.39946) (end 71.95312 41.39946) (width 0.127) (layer Front) (net 19) (status 20)) + (segment (start 70.3453 40.01262) (end 70.3453 40.64) (width 0.127) (layer Back) (net 19) (status 10)) + (segment (start 72.4535 40.89908) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 68.6054 47.09668) (end 68.58254 47.11954) (width 0.127) (layer Back) (net 19)) + (segment (start 68.58254 47.11954) (end 68.3006 47.40148) (width 0.127) (layer Back) (net 19) (tstamp 59B04222)) + (segment (start 70.3453 40.72128) (end 70.50024 40.87622) (width 0.127) (layer Back) (net 19)) + (segment (start 71.27494 41.65092) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 70.50024 40.87622) (end 71.27494 41.65092) (width 0.127) (layer Front) (net 19) (status 20)) + (via (at 70.50024 40.87622) (size 0.44958) (layers Front Back) (net 19)) + (segment (start 69.9516 41.65092) (end 69.1515 40.85082) (width 0.127) (layer Front) (net 19) (status 30)) + (segment (start 69.2404 45.5676) (end 69.24548 45.56252) (width 0.127) (layer Back) (net 19) (status 30)) + (segment (start 69.77126 46.5963) (end 69.73824 46.56328) (width 0.127) (layer Back) (net 20)) + (segment (start 70.89902 47.09922) (end 69.97446 47.09922) (width 0.127) (layer Back) (net 20)) + (segment (start 69.97446 47.09922) (end 69.8246 46.94936) (width 0.127) (layer Back) (net 20)) + (segment (start 69.8246 46.64964) (end 69.77126 46.5963) (width 0.127) (layer Back) (net 20)) + (segment (start 69.8246 46.94936) (end 69.8246 46.64964) (width 0.127) (layer Back) (net 20)) + (segment (start 69.73824 46.56328) (end 69.24548 46.56328) (width 0.127) (layer Back) (net 20) (tstamp 59B04231)) + (segment (start 68.3006 46.49978) (end 68.3641 46.56328) (width 0.127) (layer Back) (net 20)) + (segment (start 68.3641 46.56328) (end 69.24548 46.56328) (width 0.127) (layer Back) (net 20) (tstamp 59B0422D)) + (segment (start 74.9046 47.2186) (end 74.84618 47.27702) (width 0.127) (layer Back) (net 20)) + (segment (start 74.84618 47.27702) (end 74.84618 47.6631) (width 0.127) (layer Back) (net 20) (tstamp 59B0421E)) + (segment (start 76.29906 46.20006) (end 76.38542 46.1137) (width 0.127) (layer Back) (net 20)) + (segment (start 74.9046 47.2186) (end 75.57262 46.55058) (width 0.127) (layer Back) (net 20) (tstamp 59B0421C)) + (segment (start 75.94854 46.55058) (end 76.29906 46.20006) (width 0.127) (layer Back) (net 20)) + (segment (start 74.84618 47.6631) (end 74.84618 47.27702) (width 0.127) (layer Back) (net 20) (status 10)) + (segment (start 75.57262 46.55058) (end 75.94854 46.55058) (width 0.127) (layer Back) (net 20)) + (segment (start 76.38542 46.1137) (end 76.94676 46.1137) (width 0.127) (layer Back) (net 20) (tstamp 59B04219)) + (segment (start 76.94676 46.1137) (end 76.93406 46.101) (width 0.127) (layer Back) (net 20)) + (segment (start 76.93406 46.101) (end 76.3016 46.101) (width 0.127) (layer Back) (net 20) (tstamp 59B04214)) + (segment (start 76.1746 41.2242) (end 76.28636 41.11244) (width 0.127) (layer Back) (net 20)) + (segment (start 76.28636 41.11244) (end 76.94676 41.11244) (width 0.127) (layer Back) (net 20) (tstamp 59B0420F)) + (segment (start 68.38442 38.862) (end 68.38442 38.29558) (width 0.127) (layer Front) (net 20)) + (via (at 70.104 38.227) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) + (segment (start 68.453 38.227) (end 70.104 38.227) (width 0.127) (layer Front) (net 20) (tstamp 59B03D2E)) + (segment (start 68.38442 38.29558) (end 68.453 38.227) (width 0.127) (layer Front) (net 20) (tstamp 59B03D28)) + (segment (start 68.38442 38.862) (end 68.38442 38.54958) (width 0.127) (layer Front) (net 20)) + (segment (start 69.28358 38.608) (end 69.66458 38.227) (width 0.127) (layer Back) (net 20)) + (segment (start 69.66458 38.227) (end 70.104 38.227) (width 0.127) (layer Back) (net 20) (tstamp 59B03D09)) + (segment (start 71.56958 38.862) (end 71.56958 38.42258) (width 0.127) (layer Back) (net 20)) + (segment (start 71.56958 38.42258) (end 71.247 38.1) (width 0.127) (layer Back) (net 20) (tstamp 59B03CFE)) + (segment (start 74.86142 38.481) (end 74.86142 38.41242) (width 0.127) (layer Back) (net 20)) + (segment (start 74.86142 38.41242) (end 74.549 38.1) (width 0.127) (layer Back) (net 20) (tstamp 59B03CF9)) + (segment (start 70.231 38.1) (end 70.104 38.227) (width 0.127) (layer Back) (net 20) (tstamp 59B03CFB)) + (segment (start 71.247 38.1) (end 70.231 38.1) (width 0.127) (layer Back) (net 20) (tstamp 59B03D01)) + (segment (start 74.549 38.1) (end 71.247 38.1) (width 0.127) (layer Back) (net 20) (tstamp 59B03CFA)) + (segment (start 74.295 50.292) (end 75.11542 50.292) (width 0.127) (layer Back) (net 20)) + (segment (start 75.11542 50.292) (end 75.24242 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59B010F1)) + (segment (start 69.24548 40.61206) (end 69.28358 40.57396) (width 0.127) (layer Back) (net 20) (status 30)) + (segment (start 69.28358 40.57396) (end 69.28358 38.608) (width 0.127) (layer Back) (net 20) (tstamp 59B01072) (status 10)) + (segment (start 70.104 38.227) (end 70.104 38.227) (width 0.127) (layer Back) (net 20) (tstamp 59B00F4F)) + (segment (start 74.84618 40.01262) (end 74.84618 38.49624) (width 0.127) (layer Back) (net 20) (status 10)) + (segment (start 74.84618 38.49624) (end 74.86142 38.481) (width 0.127) (layer Back) (net 20) (tstamp 59B00DD4)) + (segment (start 77.343 39.31158) (end 77.84084 39.31158) (width 0.127) (layer Back) (net 20)) + (segment (start 77.84084 39.31158) (end 78.74 38.41242) (width 0.127) (layer Back) (net 20) (tstamp 59B00234)) + (segment (start 79.883 38.92042) (end 79.375 38.41242) (width 0.127) (layer Back) (net 20)) + (segment (start 79.375 38.41242) (end 78.74 38.41242) (width 0.127) (layer Back) (net 20) (tstamp 59B0021E)) + (segment (start 76.42098 40.44696) (end 76.42098 39.27602) (width 0.127) (layer Front) (net 20)) + (via (at 76.454 39.243) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) + (segment (start 76.42098 39.27602) (end 76.454 39.243) (width 0.127) (layer Front) (net 20) (tstamp 59B0020D)) + (segment (start 76.454 39.243) (end 76.454 39.19982) (width 0.127) (layer Back) (net 20) (tstamp 59B0020F)) + (segment (start 76.454 39.19982) (end 76.454 39.243) (width 0.127) (layer Back) (net 20) (tstamp 59B00210)) + (segment (start 76.454 39.243) (end 76.454 39.19982) (width 0.127) (layer Back) (net 20) (tstamp 59B00212)) + (segment (start 75.30084 39.19982) (end 76.454 39.19982) (width 0.127) (layer Back) (net 20)) + (segment (start 76.454 39.19982) (end 77.23124 39.19982) (width 0.127) (layer Back) (net 20) (tstamp 59B00213)) + (segment (start 77.23124 39.19982) (end 77.343 39.31158) (width 0.127) (layer Back) (net 20) (tstamp 59B00209)) + (segment (start 79.82458 48.26) (end 79.82458 49.96942) (width 0.127) (layer Front) (net 20)) + (segment (start 79.75092 50.04308) (end 78.74 50.04308) (width 0.127) (layer Back) (net 20) (tstamp 59B000A0)) + (segment (start 79.756 50.038) (end 79.75092 50.04308) (width 0.127) (layer Back) (net 20) (tstamp 59B0009F)) + (via (at 79.756 50.038) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) + (segment (start 79.82458 49.96942) (end 79.756 50.038) (width 0.127) (layer Front) (net 20) (tstamp 59B0009B)) + (segment (start 77.4065 49.53508) (end 77.4065 50.165) (width 0.127) (layer Back) (net 20)) + (segment (start 78.74 50.04308) (end 78.22692 50.04308) (width 0.127) (layer Back) (net 20)) + (segment (start 78.105 50.165) (end 77.4065 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59AF2DC2)) + (segment (start 78.22692 50.04308) (end 78.105 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59AF2DC1)) + (segment (start 77.089 50.292) (end 74.295 50.292) (width 0.127) (layer Front) (net 20)) + (via (at 77.4065 50.165) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) + (segment (start 77.4065 50.165) (end 77.089 50.292) (width 0.127) (layer Front) (net 20) (tstamp 59AF2CD0)) + (segment (start 76.25334 41.14546) (end 76.1746 41.2242) (width 0.127) (layer Back) (net 20) (tstamp 59AEED10)) + (segment (start 76.1746 40.767) (end 76.42098 40.52062) (width 0.127) (layer Front) (net 20) (tstamp 59AEED07)) + (segment (start 76.1746 40.894) (end 76.1746 40.767) (width 0.127) (layer Front) (net 20) (tstamp 59AEED04)) + (segment (start 76.1746 41.2242) (end 76.1746 40.894) (width 0.127) (layer Front) (net 20) (tstamp 59AEED03)) + (via (at 76.1746 41.2242) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) + (segment (start 76.1746 45.974) (end 76.1746 41.2242) (width 0.127) (layer Back) (net 20) (tstamp 59AEECF6)) + (segment (start 76.34732 46.14672) (end 76.3016 46.101) (width 0.127) (layer Back) (net 20) (tstamp 59AEECF3)) + (segment (start 76.3016 46.101) (end 76.1746 45.974) (width 0.127) (layer Back) (net 20) (tstamp 59B04217)) + (segment (start 76.42098 40.52062) (end 76.42098 40.44696) (width 0.127) (layer Front) (net 20) (tstamp 59AEED0A)) + (segment (start 72.8472 47.6631) (end 72.8472 48.3362) (width 0.127) (layer Back) (net 20) (status 10)) + (segment (start 75.24242 49.46142) (end 75.24242 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9D9)) + (segment (start 75.184 49.403) (end 75.24242 49.46142) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9D8)) + (via (at 75.184 49.403) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) + (segment (start 73.914 49.403) (end 75.184 49.403) (width 0.127) (layer Front) (net 20) (tstamp 59AEE9D5)) + (segment (start 73.152 48.641) (end 73.914 49.403) (width 0.127) (layer Front) (net 20) (tstamp 59AEE9D4)) + (via (at 73.152 48.641) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) + (segment (start 72.8472 48.3362) (end 73.152 48.641) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9CE)) + (segment (start 75.311 48.768) (end 75.692 49.149) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9C0)) + (segment (start 75.692 49.911) (end 75.438 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9C5)) + (segment (start 75.692 49.149) (end 75.692 49.911) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9C3)) + (segment (start 75.438 50.165) (end 75.24242 50.165) (width 0.127) (layer Back) (net 20) (tstamp 59AEE9C7)) + (segment (start 74.295 50.292) (end 72.45858 50.292) (width 0.127) (layer Front) (net 20)) + (segment (start 72.45858 50.292) (end 72.33158 50.165) (width 0.127) (layer Front) (net 20) (tstamp 59AEE927)) + (segment (start 73.279 50.23358) (end 74.23658 50.23358) (width 0.127) (layer Back) (net 20)) + (segment (start 74.23658 50.23358) (end 74.295 50.292) (width 0.127) (layer Back) (net 20) (tstamp 59AEE89C)) + (via (at 74.295 50.292) (size 0.44958) (drill 0.20066) (layers Front Back) (net 20)) + (segment (start 74.295 49.911) (end 74.295 49.59858) (width 0.127) (layer Back) (net 20) (tstamp 5962A875)) + (segment (start 74.295 50.292) (end 74.295 49.911) (width 0.127) (layer Back) (net 20) (tstamp 5962A874)) + (segment (start 75.24242 48.69942) (end 74.84618 48.30318) (width 0.127) (layer Back) (net 20) (tstamp 5962A774)) + (segment (start 74.84618 48.30318) (end 74.84618 47.6631) (width 0.127) (layer Back) (net 20) (tstamp 5962A775) (status 20)) + (segment (start 75.0443 39.19982) (end 74.84618 39.39794) (width 0.127) (layer Back) (net 20)) + (segment (start 76.42098 40.44696) (end 76.42098 40.31996) (width 0.127) (layer Front) (net 20)) + (segment (start 70.84568 47.15256) (end 70.84568 47.6631) (width 0.127) (layer Back) (net 20) (status 20)) + (segment (start 69.215 40.64254) (end 69.24548 40.61206) (width 0.127) (layer Back) (net 20) (status 30)) + (segment (start 71.00062 47.09922) (end 70.89902 47.09922) (width 0.127) (layer Back) (net 20)) + (segment (start 70.89902 47.09922) (end 70.84568 47.15256) (width 0.127) (layer Back) (net 20)) + (segment (start 72.74814 47.09922) (end 71.00062 47.09922) (width 0.127) (layer Back) (net 20)) + (segment (start 72.8472 47.6631) (end 72.8472 47.19828) (width 0.127) (layer Back) (net 20) (status 10)) + (segment (start 72.8472 47.19828) (end 72.74814 47.09922) (width 0.127) (layer Back) (net 20)) + (segment (start 74.84618 39.39794) (end 74.84618 40.01262) (width 0.127) (layer Back) (net 20) (status 20)) + (segment (start 75.30084 39.19982) (end 75.0443 39.19982) (width 0.127) (layer Back) (net 20)) + (segment (start 76.42098 42.35196) (end 76.42098 41.94302) (width 0.127) (layer Front) (net 21)) + (segment (start 78.55458 39.55542) (end 79.18958 38.989) (width 0.127) (layer Front) (net 21) (tstamp 59AFFBD7) (status 20)) + (segment (start 78.232 39.878) (end 78.55458 39.55542) (width 0.127) (layer Front) (net 21) (tstamp 59AFFBD6)) + (segment (start 78.232 40.132) (end 78.232 39.878) (width 0.127) (layer Front) (net 21) (tstamp 59AFFBD4)) + (segment (start 76.42098 41.94302) (end 78.232 40.132) (width 0.127) (layer Front) (net 21) (tstamp 59AFFBD1)) + (segment (start 72.898 38.735) (end 72.39 39.243) (width 0.127) (layer Back) (net 22) (tstamp 59B03D9B)) + (via (at 72.39 39.243) (size 0.44958) (drill 0.20066) (layers Front Back) (net 22)) + (segment (start 72.39 39.243) (end 71.882 38.735) (width 0.127) (layer Front) (net 22) (tstamp 59B03D9D)) + +) diff --git a/hardware/fx2grok-tiny/fx2grok-tiny.lib b/hardware/fx2grok-tiny/fx2grok-tiny.lib new file mode 100644 index 0000000..5c46810 --- /dev/null +++ b/hardware/fx2grok-tiny/fx2grok-tiny.lib @@ -0,0 +1,105 @@ +EESchema-LIBRARY Version 2.3 Date: Sun 03 Jun 2012 03:43:12 AM CEST +#encoding utf-8 +# +# CY7C68013A-56 +# +DEF CY7C68013A-56 IC 0 40 Y Y 1 F N +F0 "IC" 0 -1700 60 H V C CNN +F1 "CY7C68013A-56" 0 0 60 H V C CNN +DRAW +S -1100 1400 1000 -1300 0 1 0 f +X RDY0/SLRD 1 -1400 1300 300 R 50 50 1 1 I +X RDY1/SLWR 2 -1400 1200 300 R 50 50 1 1 I +X AVCC 3 200 1700 300 D 50 50 1 1 W +X XTALOUT 4 -1400 1000 300 R 50 50 1 1 O +X XTALIN 5 -1400 900 300 R 50 50 1 1 I +X AGND 6 200 -1500 300 U 50 50 1 1 W +X AVCC 7 300 1700 300 D 50 50 1 1 W +X DPLUS 8 -1400 700 300 R 50 50 1 1 B +X DMINUS 9 -1400 600 300 R 50 50 1 1 B +X AGND 10 300 -1500 300 U 50 50 1 1 W +X PB2/FD2 20 1300 200 300 L 50 50 1 1 B +X CTL1/FLAGB 30 -1400 -300 300 R 50 50 1 1 O +X PA7/FLAGD/~SLCS 40 1300 600 300 L 50 50 1 1 B +X PD5/FD13 50 1300 -1000 300 L 50 50 1 1 B +X VCC 11 -500 1700 300 D 50 50 1 1 W +X PB3/FD3 21 1300 100 300 L 50 50 1 1 B +X CTL2/FLAGC 31 -1400 -400 300 R 50 50 1 1 O +X GND 41 -200 -1500 300 U 50 50 1 1 W +X PD6/FD14 51 1300 -1100 300 L 50 50 1 1 B +X GND 12 -500 -1500 300 U 50 50 1 1 W +X PB4/FD4 22 1300 0 300 L 50 50 1 1 B +X VCC 32 -200 1700 300 D 50 50 1 1 W +X ~RESET 42 -1400 -600 300 R 50 50 1 1 I I +X PD7/FD15 52 1300 -1200 300 L 50 50 1 1 B +X IFCLK 13 -1400 400 300 R 50 50 1 1 B C +X PB5/FD5 23 1300 -100 300 L 50 50 1 1 B +X PA0/~INT0 33 1300 1300 300 L 50 50 1 1 B +X VCC 43 -100 1700 300 D 50 50 1 1 W +X GND 53 -100 -1500 300 U 50 50 1 1 W +X RESERVED 14 -1400 -1000 300 R 50 50 1 1 I +X PB6/FD6 24 1300 -200 300 L 50 50 1 1 B +X PA1/~INT1 34 1300 1200 300 L 50 50 1 1 B +X WAKEUP 44 -1400 -800 300 R 50 50 1 1 I +X CLKOUT 54 -1400 300 300 R 50 50 1 1 O C +X SCL 15 -1400 100 300 R 50 50 1 1 O +X PB7/FD7 25 1300 -300 300 L 50 50 1 1 B +X PA2/SLOE 35 1300 1100 300 L 50 50 1 1 B +X PD0/FD8 45 1300 -500 300 L 50 50 1 1 B +X VCC 55 0 1700 300 D 50 50 1 1 W +X SDA 16 -1400 0 300 R 50 50 1 1 B +X GND 26 -400 -1500 300 U 50 50 1 1 W +X PA3/WU2 36 1300 1000 300 L 50 50 1 1 B +X PD1/FD9 46 1300 -600 300 L 50 50 1 1 B +X GND 56 0 -1500 300 U 50 50 1 1 W +X VCC 17 -400 1700 300 D 50 50 1 1 W +X VCC 27 -300 1700 300 D 50 50 1 1 W +X PA4/FIFOADR0 37 1300 900 300 L 50 50 1 1 B +X PD2/FD10 47 1300 -700 300 L 50 50 1 1 B +X PB0/FD0 18 1300 400 300 L 50 50 1 1 B +X GND 28 -300 -1500 300 U 50 50 1 1 W +X PA5/FIFOADR1 38 1300 800 300 L 50 50 1 1 B +X PD3/FD11 48 1300 -800 300 L 50 50 1 1 B +X PB1/FD1 19 1300 300 300 L 50 50 1 1 B +X CTL0/FLAGA 29 -1400 -200 300 R 50 50 1 1 O +X PA6/PKTEND 39 1300 700 300 L 50 50 1 1 B +X PD4/FD12 49 1300 -900 300 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# MIC5319 +# +DEF MIC5319 U 0 40 Y Y 1 F N +F0 "U" 200 -300 60 H V C CNN +F1 "MIC5319" 0 250 60 H V C CNN +F2 "~" 450 -400 60 H V C CNN +DRAW +S -250 -200 300 150 0 1 0 f +X VIN 1 -550 50 300 R 50 50 1 1 W +X GND 2 0 -500 300 U 50 50 1 1 W +X EN 3 -550 -100 300 R 50 50 1 1 I +X BYP 4 600 -100 300 L 50 50 1 1 P +X VOUT 5 600 50 300 L 50 50 1 1 w +ENDDRAW +ENDDEF +# +# MICRO-USB-B-SMD +# +DEF MICRO-USB-B-SMD U 0 40 Y Y 1 F N +F0 "U" 100 -450 60 H V C CNN +F1 "MICRO-USB-B-SMD" -150 350 60 H V C CNN +DRAW +S -500 300 200 -300 0 1 0 f +X VBUS 1 500 200 300 L 50 50 1 1 w +X DM 2 500 100 300 L 50 50 1 1 B +X DP 3 500 0 300 L 50 50 1 1 B +X ID 4 500 -100 300 L 50 50 1 1 O +X GND 5 500 -200 300 L 50 50 1 1 W +X SH1 6 -100 -600 300 U 50 50 1 1 P +X SH2 7 -200 -600 300 U 50 50 1 1 P +X SH3 8 -300 -600 300 U 50 50 1 1 P +X SH4 9 -400 -600 300 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +#End Library diff --git a/hardware/fx2grok-tiny/fx2grok-tiny.net b/hardware/fx2grok-tiny/fx2grok-tiny.net new file mode 100644 index 0000000..8b9e58c --- /dev/null +++ b/hardware/fx2grok-tiny/fx2grok-tiny.net @@ -0,0 +1,515 @@ +(export (version D) + (design + (source /home/uwe/code/SIGROK/fx2grok/hardware/fx2grok-tiny/fx2grok-tiny.sch) + (date "Sun 09 Jul 2017 11:41:00 PM CEST") + (tool "Eeschema 4.0.5+dfsg1-4") + (sheet (number 1) (name /) (tstamps /) + (title_block + (title fx2grok) + (company http://www.sigrok.org/wiki/Fx2grok) + (rev 1) + (date "26 mar 2013") + (source fx2grok-tiny.sch) + (comment (number 1) (value "Open Hardware, CC-BY-SA 3.0")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value ""))))) + (components + (comp (ref R3) + (value 2K7) + (footprint SM0402) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5151D52F)) + (comp (ref C1) + (value 1uF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCBEB98)) + (comp (ref R5) + (value 2K7) + (footprint SM0402) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCAAEBE)) + (comp (ref D1) + (value LED1) + (footprint SM0402) + (libsource (lib device) (part LED)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCAAEBC)) + (comp (ref C15) + (value 100nF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCAA86B)) + (comp (ref C16) + (value 2.2uF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCAA863)) + (comp (ref C14) + (value 1uF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCAA85F)) + (comp (ref U2) + (value MIC5319) + (footprint SOT23-5) + (libsource (lib fx2grok-tiny) (part MIC5319)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCAA78E)) + (comp (ref U1) + (value MICRO-USB-B-SMD) + (footprint MICRO-USB-B-SMD) + (libsource (lib fx2grok-tiny) (part MICRO-USB-B-SMD)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCAA5E6)) + (comp (ref R2) + (value 2K7) + (footprint SM0402) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCAA3E6)) + (comp (ref C11) + (value 100nF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA9C86)) + (comp (ref C8) + (value 100nF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA9C7E)) + (comp (ref C6) + (value 2.2uF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA9C79)) + (comp (ref C3) + (value 2.2uF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA9C5D)) + (comp (ref C13) + (value 100nF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA97A0)) + (comp (ref C12) + (value 100nF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA9799)) + (comp (ref C7) + (value 100nF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA9793)) + (comp (ref C5) + (value 100nF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA9777)) + (comp (ref C10) + (value 100nF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA9724)) + (comp (ref C2) + (value 100nF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA96AB)) + (comp (ref R1) + (value 100K) + (footprint SM0402) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA95B2)) + (comp (ref R4) + (value 2K7) + (footprint SM0402) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FCA93BD)) + (comp (ref C4) + (value 12pF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FC8F6F8)) + (comp (ref C9) + (value 12pF) + (footprint SM0402) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FC8F6F5)) + (comp (ref IC1) + (value CY7C68013A-56) + (footprint QFN56) + (libsource (lib fx2grok-tiny) (part CY7C68013A-56)) + (sheetpath (names /) (tstamps /)) + (tstamp 4FC8F245)) + (comp (ref P1) + (value CONN_01X05) + (footprint CONN-5x1-SMD-127MM) + (libsource (lib conn) (part CONN_01X05)) + (sheetpath (names /) (tstamps /)) + (tstamp 596377A2)) + (comp (ref P2) + (value CONN_01X05) + (footprint CONN-5x1-SMD-127MM) + (libsource (lib conn) (part CONN_01X05)) + (sheetpath (names /) (tstamps /)) + (tstamp 59637927)) + (comp (ref Y1) + (value 24MHz) + (footprint ABM11) + (libsource (lib device) (part Crystal_GND24)) + (sheetpath (names /) (tstamps /)) + (tstamp 5962A5CC))) + (libparts + (libpart (lib device) (part C) + (description "Unpolarized capacitor") + (footprints + (fp C?) + (fp C_????_*) + (fp C_????) + (fp SMD*_c) + (fp Capacitor*)) + (fields + (field (name Reference) C) + (field (name Value) C)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib conn) (part CONN_01X05) + (description "Connector, single row, 01x05") + (footprints + (fp Pin_Header_Straight_1X05) + (fp Pin_Header_Angled_1X05) + (fp Socket_Strip_Straight_1X05) + (fp Socket_Strip_Angled_1X05)) + (fields + (field (name Reference) P) + (field (name Value) CONN_01X05)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name P2) (type passive)) + (pin (num 3) (name P3) (type passive)) + (pin (num 4) (name P4) (type passive)) + (pin (num 5) (name P5) (type passive)))) + (libpart (lib fx2grok-tiny) (part CY7C68013A-56) + (fields + (field (name Reference) IC) + (field (name Value) CY7C68013A-56)) + (pins + (pin (num 1) (name RDY0/SLRD) (type input)) + (pin (num 2) (name RDY1/SLWR) (type input)) + (pin (num 3) (name AVCC) (type power_in)) + (pin (num 4) (name XTALOUT) (type output)) + (pin (num 5) (name XTALIN) (type input)) + (pin (num 6) (name AGND) (type power_in)) + (pin (num 7) (name AVCC) (type power_in)) + (pin (num 8) (name DPLUS) (type BiDi)) + (pin (num 9) (name DMINUS) (type BiDi)) + (pin (num 10) (name AGND) (type power_in)) + (pin (num 11) (name VCC) (type power_in)) + (pin (num 12) (name GND) (type power_in)) + (pin (num 13) (name IFCLK) (type BiDi)) + (pin (num 14) (name RESERVED) (type input)) + (pin (num 15) (name SCL) (type output)) + (pin (num 16) (name SDA) (type BiDi)) + (pin (num 17) (name VCC) (type power_in)) + (pin (num 18) (name PB0/FD0) (type BiDi)) + (pin (num 19) (name PB1/FD1) (type BiDi)) + (pin (num 20) (name PB2/FD2) (type BiDi)) + (pin (num 21) (name PB3/FD3) (type BiDi)) + (pin (num 22) (name PB4/FD4) (type BiDi)) + (pin (num 23) (name PB5/FD5) (type BiDi)) + (pin (num 24) (name PB6/FD6) (type BiDi)) + (pin (num 25) (name PB7/FD7) (type BiDi)) + (pin (num 26) (name GND) (type power_in)) + (pin (num 27) (name VCC) (type power_in)) + (pin (num 28) (name GND) (type power_in)) + (pin (num 29) (name CTL0/FLAGA) (type output)) + (pin (num 30) (name CTL1/FLAGB) (type output)) + (pin (num 31) (name CTL2/FLAGC) (type output)) + (pin (num 32) (name VCC) (type power_in)) + (pin (num 33) (name PA0/~INT0) (type BiDi)) + (pin (num 34) (name PA1/~INT1) (type BiDi)) + (pin (num 35) (name PA2/SLOE) (type BiDi)) + (pin (num 36) (name PA3/WU2) (type BiDi)) + (pin (num 37) (name PA4/FIFOADR0) (type BiDi)) + (pin (num 38) (name PA5/FIFOADR1) (type BiDi)) + (pin (num 39) (name PA6/PKTEND) (type BiDi)) + (pin (num 40) (name PA7/FLAGD/~SLCS) (type BiDi)) + (pin (num 41) (name GND) (type power_in)) + (pin (num 42) (name ~RESET) (type input)) + (pin (num 43) (name VCC) (type power_in)) + (pin (num 44) (name WAKEUP) (type input)) + (pin (num 45) (name PD0/FD8) (type BiDi)) + (pin (num 46) (name PD1/FD9) (type BiDi)) + (pin (num 47) (name PD2/FD10) (type BiDi)) + (pin (num 48) (name PD3/FD11) (type BiDi)) + (pin (num 49) (name PD4/FD12) (type BiDi)) + (pin (num 50) (name PD5/FD13) (type BiDi)) + (pin (num 51) (name PD6/FD14) (type BiDi)) + (pin (num 52) (name PD7/FD15) (type BiDi)) + (pin (num 53) (name GND) (type power_in)) + (pin (num 54) (name CLKOUT) (type output)) + (pin (num 55) (name VCC) (type power_in)) + (pin (num 56) (name GND) (type power_in)))) + (libpart (lib device) (part Crystal_GND24) + (description "Four pin crystal (GND on pins 2 and 4), e.g. in SMD package") + (footprints + (fp Crystal*)) + (fields + (field (name Reference) Y) + (field (name Value) Crystal_GND24)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)) + (pin (num 3) (name 3) (type passive)) + (pin (num 4) (name 4) (type passive)))) + (libpart (lib device) (part LED) + (description "LED generic") + (footprints + (fp LED*)) + (fields + (field (name Reference) D) + (field (name Value) LED)) + (pins + (pin (num 1) (name K) (type passive)) + (pin (num 2) (name A) (type passive)))) + (libpart (lib fx2grok-tiny) (part MIC5319) + (fields + (field (name Reference) U) + (field (name Value) MIC5319)) + (pins + (pin (num 1) (name VIN) (type power_in)) + (pin (num 2) (name GND) (type power_in)) + (pin (num 3) (name EN) (type input)) + (pin (num 4) (name BYP) (type passive)) + (pin (num 5) (name VOUT) (type power_out)))) + (libpart (lib fx2grok-tiny) (part MICRO-USB-B-SMD) + (fields + (field (name Reference) U) + (field (name Value) MICRO-USB-B-SMD)) + (pins + (pin (num 1) (name VBUS) (type power_out)) + (pin (num 2) (name DM) (type BiDi)) + (pin (num 3) (name DP) (type BiDi)) + (pin (num 4) (name ID) (type output)) + (pin (num 5) (name GND) (type power_in)) + (pin (num 6) (name SH1) (type passive)) + (pin (num 7) (name SH2) (type passive)) + (pin (num 8) (name SH3) (type passive)) + (pin (num 9) (name SH4) (type passive)))) + (libpart (lib device) (part R) + (description Resistor) + (footprints + (fp R_*) + (fp Resistor_*)) + (fields + (field (name Reference) R) + (field (name Value) R)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive))))) + (libraries + (library (logical device) + (uri /usr/share/kicad/library/device.lib)) + (library (logical conn) + (uri /usr/share/kicad/library/conn.lib)) + (library (logical fx2grok-tiny) + (uri fx2grok-tiny.lib))) + (nets + (net (code 1) (name /SDA) + (node (ref R4) (pin 1)) + (node (ref IC1) (pin 16))) + (net (code 2) (name /XTALIN) + (node (ref Y1) (pin 1)) + (node (ref C4) (pin 1)) + (node (ref IC1) (pin 5))) + (net (code 3) (name /DP) + (node (ref IC1) (pin 8)) + (node (ref U1) (pin 3))) + (net (code 4) (name /SCL) + (node (ref R3) (pin 1)) + (node (ref IC1) (pin 15))) + (net (code 5) (name +3V3) + (node (ref C13) (pin 1)) + (node (ref C7) (pin 1)) + (node (ref C12) (pin 1)) + (node (ref IC1) (pin 43)) + (node (ref IC1) (pin 3)) + (node (ref IC1) (pin 27)) + (node (ref IC1) (pin 17)) + (node (ref IC1) (pin 55)) + (node (ref IC1) (pin 7)) + (node (ref R1) (pin 1)) + (node (ref C6) (pin 1)) + (node (ref C8) (pin 1)) + (node (ref C11) (pin 1)) + (node (ref IC1) (pin 11)) + (node (ref R2) (pin 1)) + (node (ref IC1) (pin 32)) + (node (ref R4) (pin 2)) + (node (ref C3) (pin 1)) + (node (ref C2) (pin 1)) + (node (ref C10) (pin 1)) + (node (ref C5) (pin 1)) + (node (ref C1) (pin 1)) + (node (ref R3) (pin 2)) + (node (ref U2) (pin 5)) + (node (ref C16) (pin 1))) + (net (code 6) (name /DM) + (node (ref IC1) (pin 9)) + (node (ref U1) (pin 2))) + (net (code 7) (name /XTALOUT) + (node (ref IC1) (pin 4)) + (node (ref Y1) (pin 3)) + (node (ref C9) (pin 1))) + (net (code 8) (name "Net-(C15-Pad1)") + (node (ref U2) (pin 4)) + (node (ref C15) (pin 1))) + (net (code 9) (name /WAKEUP) + (node (ref IC1) (pin 44)) + (node (ref R1) (pin 2))) + (net (code 10) (name /PB2) + (node (ref P1) (pin 2)) + (node (ref IC1) (pin 20))) + (net (code 11) (name /PB6) + (node (ref P1) (pin 4)) + (node (ref IC1) (pin 24))) + (net (code 12) (name /PB1) + (node (ref IC1) (pin 19)) + (node (ref P2) (pin 5))) + (net (code 13) (name /PB5) + (node (ref IC1) (pin 23)) + (node (ref P2) (pin 3))) + (net (code 14) (name /PA0) + (node (ref IC1) (pin 33)) + (node (ref R5) (pin 2))) + (net (code 15) (name /PB0) + (node (ref P1) (pin 1)) + (node (ref IC1) (pin 18))) + (net (code 16) (name /PB4) + (node (ref IC1) (pin 22)) + (node (ref P1) (pin 3))) + (net (code 17) (name "Net-(D1-Pad1)") + (node (ref D1) (pin 1)) + (node (ref R5) (pin 1))) + (net (code 18) (name /PB3) + (node (ref IC1) (pin 21)) + (node (ref P2) (pin 4))) + (net (code 19) (name /PB7) + (node (ref IC1) (pin 25)) + (node (ref P2) (pin 2))) + (net (code 20) (name GND) + (node (ref IC1) (pin 53)) + (node (ref C5) (pin 2)) + (node (ref IC1) (pin 6)) + (node (ref IC1) (pin 14)) + (node (ref C1) (pin 2)) + (node (ref IC1) (pin 10)) + (node (ref U1) (pin 7)) + (node (ref U1) (pin 6)) + (node (ref U1) (pin 5)) + (node (ref U2) (pin 2)) + (node (ref C16) (pin 2)) + (node (ref C15) (pin 2)) + (node (ref D1) (pin 2)) + (node (ref C4) (pin 2)) + (node (ref C14) (pin 2)) + (node (ref C9) (pin 2)) + (node (ref IC1) (pin 28)) + (node (ref IC1) (pin 56)) + (node (ref IC1) (pin 26)) + (node (ref Y1) (pin 4)) + (node (ref Y1) (pin 2)) + (node (ref P2) (pin 1)) + (node (ref P1) (pin 5)) + (node (ref C10) (pin 2)) + (node (ref U1) (pin 9)) + (node (ref C12) (pin 2)) + (node (ref C13) (pin 2)) + (node (ref C6) (pin 2)) + (node (ref C8) (pin 2)) + (node (ref C11) (pin 2)) + (node (ref C7) (pin 2)) + (node (ref C3) (pin 2)) + (node (ref IC1) (pin 41)) + (node (ref IC1) (pin 12)) + (node (ref U1) (pin 8)) + (node (ref C2) (pin 2))) + (net (code 21) (name +5V) + (node (ref C14) (pin 1)) + (node (ref U2) (pin 1)) + (node (ref U2) (pin 3)) + (node (ref U1) (pin 1))) + (net (code 22) (name /RESET#) + (node (ref IC1) (pin 42)) + (node (ref R2) (pin 2))) + (net (code 23) (name "Net-(IC1-Pad1)") + (node (ref IC1) (pin 1))) + (net (code 24) (name "Net-(IC1-Pad2)") + (node (ref IC1) (pin 2))) + (net (code 25) (name "Net-(IC1-Pad48)") + (node (ref IC1) (pin 48))) + (net (code 26) (name "Net-(IC1-Pad47)") + (node (ref IC1) (pin 47))) + (net (code 27) (name "Net-(IC1-Pad34)") + (node (ref IC1) (pin 34))) + (net (code 28) (name "Net-(IC1-Pad35)") + (node (ref IC1) (pin 35))) + (net (code 29) (name "Net-(IC1-Pad36)") + (node (ref IC1) (pin 36))) + (net (code 30) (name "Net-(IC1-Pad37)") + (node (ref IC1) (pin 37))) + (net (code 31) (name "Net-(IC1-Pad38)") + (node (ref IC1) (pin 38))) + (net (code 32) (name "Net-(IC1-Pad39)") + (node (ref IC1) (pin 39))) + (net (code 33) (name "Net-(IC1-Pad40)") + (node (ref IC1) (pin 40))) + (net (code 34) (name "Net-(IC1-Pad46)") + (node (ref IC1) (pin 46))) + (net (code 35) (name "Net-(IC1-Pad54)") + (node (ref IC1) (pin 54))) + (net (code 36) (name "Net-(IC1-Pad13)") + (node (ref IC1) (pin 13))) + (net (code 37) (name "Net-(IC1-Pad29)") + (node (ref IC1) (pin 29))) + (net (code 38) (name "Net-(IC1-Pad45)") + (node (ref IC1) (pin 45))) + (net (code 39) (name "Net-(U1-Pad4)") + (node (ref U1) (pin 4))) + (net (code 40) (name "Net-(IC1-Pad49)") + (node (ref IC1) (pin 49))) + (net (code 41) (name "Net-(IC1-Pad31)") + (node (ref IC1) (pin 31))) + (net (code 42) (name "Net-(IC1-Pad30)") + (node (ref IC1) (pin 30))) + (net (code 43) (name "Net-(IC1-Pad52)") + (node (ref IC1) (pin 52))) + (net (code 44) (name "Net-(IC1-Pad51)") + (node (ref IC1) (pin 51))) + (net (code 45) (name "Net-(IC1-Pad50)") + (node (ref IC1) (pin 50))))) diff --git a/hardware/fx2grok-tiny/fx2grok-tiny.pretty/ABM11.kicad_mod b/hardware/fx2grok-tiny/fx2grok-tiny.pretty/ABM11.kicad_mod new file mode 100644 index 0000000..9f78ea8 --- /dev/null +++ b/hardware/fx2grok-tiny/fx2grok-tiny.pretty/ABM11.kicad_mod @@ -0,0 +1,16 @@ +(module ABM11 (layer F.Cu) (tedit 4FCBBD24) + (fp_text reference ABM11 (at 0 6.72592) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_text value VAL** (at 0 -4.7498) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (pad 1 smd rect (at -0.65024 0.50038) (size 0.7493 0.65024) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 2 smd rect (at 0.6477 0.50038) (size 0.7493 0.65024) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 3 smd rect (at 0.6477 -0.50038) (size 0.7493 0.65024) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 4 smd rect (at -0.65024 -0.50038) (size 0.7493 0.65024) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) +) diff --git a/hardware/fx2grok-tiny/fx2grok-tiny.pretty/CONN-5x1-SMD-127MM.kicad_mod b/hardware/fx2grok-tiny/fx2grok-tiny.pretty/CONN-5x1-SMD-127MM.kicad_mod new file mode 100644 index 0000000..c389dc2 --- /dev/null +++ b/hardware/fx2grok-tiny/fx2grok-tiny.pretty/CONN-5x1-SMD-127MM.kicad_mod @@ -0,0 +1,18 @@ +(module CONN-5x1-SMD-127MM (layer F.Cu) (tedit 59B14D2B) + (fp_text reference CONN-5x1-SMD-127MM (at 0.0762 9.42594) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_text value VAL** (at -0.0508 -8.8011) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (pad 1 smd rect (at -2.17932 0.16002) (size 0.75946 2.2003) (layers F.Cu F.Paste F.Mask) + (clearance 0.0508)) + (pad 2 smd rect (at -0.90424 0.16002) (size 0.75946 2.2003) (layers F.Cu F.Paste F.Mask) + (clearance 0.0508)) + (pad 3 smd rect (at 0.37084 0.16002) (size 0.75946 2.2003) (layers F.Cu F.Paste F.Mask) + (clearance 0.0508)) + (pad 4 smd rect (at 1.64592 0.16002) (size 0.75946 2.2003) (layers F.Cu F.Paste F.Mask) + (clearance 0.0508)) + (pad 5 smd rect (at 2.921 0.16002) (size 0.75946 2.2003) (layers F.Cu F.Paste F.Mask) + (clearance 0.0508)) +) diff --git a/hardware/fx2grok-tiny/fx2grok-tiny.pretty/MICRO-USB-B-SMD.kicad_mod b/hardware/fx2grok-tiny/fx2grok-tiny.pretty/MICRO-USB-B-SMD.kicad_mod new file mode 100644 index 0000000..5b538db --- /dev/null +++ b/hardware/fx2grok-tiny/fx2grok-tiny.pretty/MICRO-USB-B-SMD.kicad_mod @@ -0,0 +1,30 @@ +(module MICRO-USB-B-SMD (layer F.Cu) (tedit 59AEE3E8) + (fp_text reference MICRO-USB-B-SMD (at 0.14986 6.52018) (layer F.SilkS) hide + (effects (font (thickness 0.3048))) + ) + (fp_text value VAL** (at -0.03048 -5.1689) (layer F.SilkS) hide + (effects (font (thickness 0.3048))) + ) + (pad 1 smd rect (at -1.30048 -0.94996) (size 0.39878 1.34874) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 2 smd rect (at -0.65024 -0.94996) (size 0.39878 1.34874) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 3 smd rect (at 0 -0.94996) (size 0.39878 1.34874) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 4 smd rect (at 0.65024 -0.94996) (size 0.39878 1.34874) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 5 smd rect (at 1.30048 -0.94996) (size 0.39878 1.34874) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 5 smd rect (at -3.0988 -0.8509) (size 2.10058 1.6002) (layers F.Cu F.Paste F.Mask) + (clearance 0.29972)) + (pad 5 smd rect (at 3.10134 -0.8509) (size 2.10058 1.6002) (layers F.Cu F.Paste F.Mask) + (clearance 0.29972)) + (pad 5 smd rect (at 3.8989 1.69926) (size 1.89992 1.89992) (layers F.Cu F.Paste F.Mask) + (clearance 0.29972)) + (pad 5 smd rect (at 1.19888 1.69926) (size 1.89992 1.89992) (layers F.Cu F.Paste F.Mask) + (clearance 0.29972)) + (pad 5 smd rect (at -1.19888 1.69926) (size 1.89992 1.89992) (layers F.Cu F.Paste F.Mask) + (clearance 0.29972)) + (pad 5 smd rect (at -3.8989 1.69926) (size 1.89992 1.89992) (layers F.Cu F.Paste F.Mask) + (clearance 0.29972)) +) diff --git a/hardware/fx2grok-tiny/fx2grok-tiny.pretty/QFN56.kicad_mod b/hardware/fx2grok-tiny/fx2grok-tiny.pretty/QFN56.kicad_mod new file mode 100644 index 0000000..6b2f0de --- /dev/null +++ b/hardware/fx2grok-tiny/fx2grok-tiny.pretty/QFN56.kicad_mod @@ -0,0 +1,122 @@ +(module QFN56 (layer F.Cu) (tedit 4FCBEA5C) + (fp_text reference QFN56 (at -2.90068 2.64922) (layer F.SilkS) hide + (effects (font (thickness 0.3048))) + ) + (fp_text value VAL** (at -2.99974 -9.25068) (layer F.SilkS) hide + (effects (font (thickness 0.3048))) + ) + (pad "" smd rect (at 0 0) (size 4.8006 5.4991) (layers F.Cu F.Paste F.Mask) + (clearance 0.01016)) + (pad 28 smd rect (at -3.25374 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 27 smd rect (at -2.75336 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 26 smd rect (at -2.25298 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 25 smd rect (at -1.7526 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 24 smd rect (at -1.25476 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 23 smd rect (at -0.75438 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 22 smd rect (at -0.254 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 21 smd rect (at 0.24638 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 20 smd rect (at 0.74676 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 19 smd rect (at 1.24714 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 18 smd rect (at 1.74752 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 17 smd rect (at 2.2479 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 16 smd rect (at 2.74574 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 15 smd rect (at 3.24612 3.84556) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 29 smd rect (at -3.85318 3.24612) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 30 smd rect (at -3.85318 2.74574) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 31 smd rect (at -3.85318 2.24536) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 32 smd rect (at -3.85318 1.74498) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 33 smd rect (at -3.85318 1.2446) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 34 smd rect (at -3.85318 0.74422) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 35 smd rect (at -3.85318 0.24384) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 36 smd rect (at -3.85318 -0.254) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 37 smd rect (at -3.85318 -0.75438) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 38 smd rect (at -3.85318 -1.25476) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 39 smd rect (at -3.85318 -1.75514) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 40 smd rect (at -3.85318 -2.25552) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 41 smd rect (at -3.85318 -2.7559) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 42 smd rect (at -3.85318 -3.25374) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 43 smd rect (at -3.25374 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 44 smd rect (at -2.80162 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 45 smd rect (at -2.30378 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 46 smd rect (at -1.8034 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 47 smd rect (at -1.30302 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 48 smd rect (at -0.80264 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 49 smd rect (at -0.30226 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 50 smd rect (at 0.19812 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 51 smd rect (at 0.6985 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 52 smd rect (at 1.19634 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 53 smd rect (at 1.69672 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 54 smd rect (at 2.1971 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 55 smd rect (at 2.69748 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 56 smd rect (at 3.19786 -3.85572) (size 0.2794 0.69088) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 1 smd rect (at 3.7973 -3.25628) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 2 smd rect (at 3.7973 -2.7559) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 3 smd rect (at 3.7973 -2.25552) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 4 smd rect (at 3.7973 -1.75514) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 5 smd rect (at 3.7973 -1.25476) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 6 smd rect (at 3.7973 -0.75438) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 7 smd rect (at 3.7973 -0.254) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 8 smd rect (at 3.7973 0.24384) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 9 smd rect (at 3.7973 0.74422) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 10 smd rect (at 3.7973 1.2446) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 11 smd rect (at 3.7973 1.74498) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 12 smd rect (at 3.7973 2.24536) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 13 smd rect (at 3.7973 2.74574) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) + (pad 14 smd rect (at 3.7973 3.24612) (size 0.69088 0.2794) (layers F.Cu F.Paste F.Mask) + (clearance 0.09906)) +) diff --git a/hardware/fx2grok-tiny/fx2grok-tiny.pro b/hardware/fx2grok-tiny/fx2grok-tiny.pro new file mode 100644 index 0000000..25d5d2b --- /dev/null +++ b/hardware/fx2grok-tiny/fx2grok-tiny.pro @@ -0,0 +1,75 @@ +update=Sun 09 Jul 2017 09:32:57 PM CEST +version=1 +last_client=kicad +[cvpcb] +version=1 +NetIExt=net +[cvpcb/libraries] +EquName1=devcms +[general] +version=1 +[pcbnew] +version=1 +PadDrlX=320 +PadDimH=600 +PadDimV=600 +BoardThickness=630 +TxtPcbV=800 +TxtPcbH=600 +TxtModV=600 +TxtModH=600 +TxtModW=120 +VEgarde=39 +DrawLar=150 +EdgeLar=150 +TxtLar=120 +MSegLar=150 +LastNetListRead=fx2grok-tiny.net +[pcbnew/libraries] +LibDir=../0.1 +LibName1=sockets +LibName2=connect +LibName3=discret +LibName4=pin_array +LibName5=divers +LibName6=libcms +LibName7=display +LibName8=led +LibName9=dip_sockets +LibName10=pga_sockets +LibName11=valves +LibName12=fx2grok-tiny +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +LibName1=power +LibName2=device +LibName3=transistors +LibName4=conn +LibName5=linear +LibName6=regul +LibName7=74xx +LibName8=cmos4000 +LibName9=adc-dac +LibName10=memory +LibName11=xilinx +LibName12=microcontrollers +LibName13=dsp +LibName14=microchip +LibName15=analog_switches +LibName16=motorola +LibName17=texas +LibName18=intel +LibName19=audio +LibName20=interface +LibName21=digital-audio +LibName22=philips +LibName23=display +LibName24=cypress +LibName25=siliconi +LibName26=opto +LibName27=atmel +LibName28=contrib +LibName29=valves +LibName30=fx2grok-tiny diff --git a/hardware/fx2grok-tiny/fx2grok-tiny.sch b/hardware/fx2grok-tiny/fx2grok-tiny.sch new file mode 100644 index 0000000..becd35a --- /dev/null +++ b/hardware/fx2grok-tiny/fx2grok-tiny.sch @@ -0,0 +1,1223 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:fx2grok-tiny +LIBS:fx2grok-tiny-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "fx2grok" +Date "2017-09-07" +Rev "1" +Comp "http://www.sigrok.org/wiki/Fx2grok" +Comment1 "Open Hardware, CC-BY-SA 3.0" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L R R3 +U 1 1 5151D52F +P 2700 4000 +F 0 "R3" V 2780 4000 50 0000 C CNN +F 1 "2K7" V 2700 4000 50 0000 C CNN +F 2 "SM0402" H 2700 4000 60 0001 C CNN +F 3 "" H 2700 4000 60 0001 C CNN + 1 2700 4000 + 0 1 1 0 +$EndComp +Text Notes 8050 3400 0 60 ~ 0 +5x2 1.27mm pin header (5 pins per PCB side) +Text Label 7400 4100 0 60 ~ 0 +PB7 +Text Label 7400 4000 0 60 ~ 0 +PB6 +Text Label 7400 3900 0 60 ~ 0 +PB5 +Text Label 7400 3800 0 60 ~ 0 +PB4 +Text Label 7400 3700 0 60 ~ 0 +PB3 +Text Label 7400 3600 0 60 ~ 0 +PB2 +Text Label 7400 3500 0 60 ~ 0 +PB1 +Text Label 7400 3400 0 60 ~ 0 +PB0 +Text Label 5450 6200 0 60 ~ 0 +PA0 +Text Label 7400 2500 0 60 ~ 0 +PA0 +NoConn ~ 7300 4300 +NoConn ~ 7300 4400 +Text Label 9600 2850 0 60 ~ 0 +PB7 +Text Label 9600 2750 0 60 ~ 0 +PB5 +Text Label 9600 2650 0 60 ~ 0 +PB3 +Text Label 8550 2850 2 60 ~ 0 +PB6 +Text Label 8550 2750 2 60 ~ 0 +PB4 +Text Label 9600 2550 0 60 ~ 0 +PB1 +Text Label 8550 2650 2 60 ~ 0 +PB2 +Text Label 8550 2550 2 60 ~ 0 +PB0 +$Comp +L C C1 +U 1 1 4FCBEB98 +P 950 5000 +F 0 "C1" H 1000 5100 50 0000 L CNN +F 1 "1uF" H 1000 4900 50 0000 L CNN +F 2 "SM0402" H 950 5000 60 0001 C CNN +F 3 "" H 950 5000 60 0001 C CNN + 1 950 5000 + 1 0 0 -1 +$EndComp +NoConn ~ 4600 3400 +NoConn ~ 4600 3500 +NoConn ~ 4600 4000 +NoConn ~ 4600 4100 +NoConn ~ 4600 4200 +Text Notes 9450 1050 0 60 ~ 0 +Kicad-internal stuff, ignore +$Comp +L PWR_FLAG #FLG1 +U 1 1 4FCB8E1B +P 10100 1300 +F 0 "#FLG1" H 10100 1395 30 0001 C CNN +F 1 "PWR_FLAG" H 10100 1480 30 0000 C CNN +F 2 "" H 10100 1300 60 0001 C CNN +F 3 "" H 10100 1300 60 0001 C CNN + 1 10100 1300 + 1 0 0 -1 +$EndComp +Text Notes 5100 6500 0 60 ~ 0 +LED\n +$Comp +L R R5 +U 1 1 4FCAAEBE +P 5200 6200 +F 0 "R5" V 5280 6200 50 0000 C CNN +F 1 "2K7" V 5200 6200 50 0000 C CNN +F 2 "SM0402" H 5200 6200 60 0001 C CNN +F 3 "" H 5200 6200 60 0001 C CNN + 1 5200 6200 + 0 -1 -1 0 +$EndComp +$Comp +L LED D1 +U 1 1 4FCAAEBC +P 4800 6200 +F 0 "D1" H 4800 6300 50 0000 C CNN +F 1 "LED1" H 4800 6100 50 0000 C CNN +F 2 "SM0402" H 4800 6200 60 0001 C CNN +F 3 "" H 4800 6200 60 0001 C CNN + 1 4800 6200 + -1 0 0 1 +$EndComp +$Comp +L C C15 +U 1 1 4FCAA86B +P 10250 5800 +F 0 "C15" H 10300 5900 50 0000 L CNN +F 1 "100nF" H 10000 5700 50 0000 L CNN +F 2 "SM0402" H 10250 5800 60 0001 C CNN +F 3 "" H 10250 5800 60 0001 C CNN + 1 10250 5800 + 1 0 0 -1 +$EndComp +$Comp +L C C16 +U 1 1 4FCAA863 +P 10550 5800 +F 0 "C16" H 10600 5900 50 0000 L CNN +F 1 "2.2uF" H 10600 5700 50 0000 L CNN +F 2 "SM0402" H 10550 5800 60 0001 C CNN +F 3 "" H 10550 5800 60 0001 C CNN + 1 10550 5800 + 1 0 0 -1 +$EndComp +$Comp +L C C14 +U 1 1 4FCAA85F +P 8800 5800 +F 0 "C14" H 8850 5900 50 0000 L CNN +F 1 "1uF" H 8850 5700 50 0000 L CNN +F 2 "SM0402" H 8800 5800 60 0001 C CNN +F 3 "" H 8800 5800 60 0001 C CNN + 1 8800 5800 + 1 0 0 -1 +$EndComp +$Comp +L MIC5319 U2 +U 1 1 4FCAA78E +P 9550 5450 +F 0 "U2" H 9750 5150 60 0000 C CNN +F 1 "MIC5319" H 9550 5700 60 0000 C CNN +F 2 "SOT23-5" H 9200 4800 60 0001 C CNN +F 3 "" H 9550 5450 60 0001 C CNN + 1 9550 5450 + 1 0 0 -1 +$EndComp +$Comp +L MICRO-USB-B-SMD U1 +U 1 1 4FCAA5E6 +P 1550 1100 +F 0 "U1" H 1650 650 60 0000 C CNN +F 1 "MICRO-USB-B-SMD" H 1400 1450 60 0000 C CNN +F 2 "MICRO-USB-B-SMD" H 1550 1100 60 0001 C CNN +F 3 "" H 1550 1100 60 0001 C CNN + 1 1550 1100 + 1 0 0 -1 +$EndComp +Text Label 4500 4400 2 60 ~ 0 +RESET# +Text Label 1450 4750 0 60 ~ 0 +RESET# +$Comp +L R R2 +U 1 1 4FCAA3E6 +P 1200 4750 +F 0 "R2" V 1280 4750 50 0000 C CNN +F 1 "2K7" V 1200 4750 50 0000 C CNN +F 2 "SM0402" H 1200 4750 60 0001 C CNN +F 3 "" H 1200 4750 60 0001 C CNN + 1 1200 4750 + 0 -1 -1 0 +$EndComp +Text Notes 2250 5050 2 60 ~ 0 +RESET# is tied to VCC\nvia 2K7 and 1uF +Text Label 1450 4000 0 60 ~ 0 +WAKEUP +Text Notes 1900 4250 2 60 ~ 0 +WAKEUP is tied to VCC +Text Label 4500 4600 2 60 ~ 0 +WAKEUP +Text Label 1350 2500 2 60 ~ 0 +XTALIN +Text Label 2150 2500 0 60 ~ 0 +XTALOUT +Text Label 4500 2900 2 60 ~ 0 +XTALIN +Text Label 4500 2800 2 60 ~ 0 +XTALOUT +Text Notes 2050 3550 2 60 ~ 0 +24MHz crystal +Text Notes 10100 6400 2 60 ~ 0 +3.3V voltage regulator +Text Notes 1500 2050 2 60 ~ 0 +USB +Text Notes 4800 5200 2 60 ~ 0 +RESERVED must be tied to GND +NoConn ~ 4600 2600 +NoConn ~ 4600 2500 +Text Notes 700 7650 0 60 ~ 0 +2.2uF/100nF bypass capacitors for all AVCC pins\n +$Comp +L C C11 +U 1 1 4FCA9C86 +P 2200 7050 +F 0 "C11" H 2250 7150 50 0000 L CNN +F 1 "100nF" V 2300 6750 50 0000 L CNN +F 2 "SM0402" H 2200 7050 60 0001 C CNN +F 3 "" H 2200 7050 60 0001 C CNN + 1 2200 7050 + 1 0 0 -1 +$EndComp +$Comp +L C C8 +U 1 1 4FCA9C7E +P 1900 7050 +F 0 "C8" H 1950 7150 50 0000 L CNN +F 1 "100nF" V 2000 6750 50 0000 L CNN +F 2 "SM0402" H 1900 7050 60 0001 C CNN +F 3 "" H 1900 7050 60 0001 C CNN + 1 1900 7050 + 1 0 0 -1 +$EndComp +$Comp +L C C6 +U 1 1 4FCA9C79 +P 1600 7050 +F 0 "C6" H 1650 7150 50 0000 L CNN +F 1 "2.2uF" V 1700 6750 50 0000 L CNN +F 2 "SM0402" H 1600 7050 60 0001 C CNN +F 3 "" H 1600 7050 60 0001 C CNN + 1 1600 7050 + 1 0 0 -1 +$EndComp +$Comp +L C C3 +U 1 1 4FCA9C5D +P 1300 7050 +F 0 "C3" H 1350 7150 50 0000 L CNN +F 1 "2.2uF" V 1400 6750 50 0000 L CNN +F 2 "SM0402" H 1300 7050 60 0001 C CNN +F 3 "" H 1300 7050 60 0001 C CNN + 1 1300 7050 + 1 0 0 -1 +$EndComp +Text Notes 1100 6450 0 60 ~ 0 +100nF bypass capacitors for all VCC pins\n +$Comp +L C C13 +U 1 1 4FCA97A0 +P 2800 5850 +F 0 "C13" H 2850 5950 50 0000 L CNN +F 1 "100nF" V 2900 5550 50 0000 L CNN +F 2 "SM0402" H 2800 5850 60 0001 C CNN +F 3 "" H 2800 5850 60 0001 C CNN + 1 2800 5850 + 1 0 0 -1 +$EndComp +$Comp +L C C12 +U 1 1 4FCA9799 +P 2500 5850 +F 0 "C12" H 2550 5950 50 0000 L CNN +F 1 "100nF" V 2600 5550 50 0000 L CNN +F 2 "SM0402" H 2500 5850 60 0001 C CNN +F 3 "" H 2500 5850 60 0001 C CNN + 1 2500 5850 + 1 0 0 -1 +$EndComp +$Comp +L C C7 +U 1 1 4FCA9793 +P 1900 5850 +F 0 "C7" H 1950 5950 50 0000 L CNN +F 1 "100nF" V 2000 5550 50 0000 L CNN +F 2 "SM0402" H 1900 5850 60 0001 C CNN +F 3 "" H 1900 5850 60 0001 C CNN + 1 1900 5850 + 1 0 0 -1 +$EndComp +$Comp +L C C5 +U 1 1 4FCA9777 +P 1600 5850 +F 0 "C5" H 1650 5950 50 0000 L CNN +F 1 "100nF" V 1700 5550 50 0000 L CNN +F 2 "SM0402" H 1600 5850 60 0001 C CNN +F 3 "" H 1600 5850 60 0001 C CNN + 1 1600 5850 + 1 0 0 -1 +$EndComp +$Comp +L C C10 +U 1 1 4FCA9724 +P 2200 5850 +F 0 "C10" H 2250 5950 50 0000 L CNN +F 1 "100nF" V 2300 5550 50 0000 L CNN +F 2 "SM0402" H 2200 5850 60 0001 C CNN +F 3 "" H 2200 5850 60 0001 C CNN + 1 2200 5850 + 1 0 0 -1 +$EndComp +$Comp +L C C2 +U 1 1 4FCA96AB +P 1300 5850 +F 0 "C2" H 1350 5950 50 0000 L CNN +F 1 "100nF" V 1400 5550 50 0000 L CNN +F 2 "SM0402" H 1300 5850 60 0001 C CNN +F 3 "" H 1300 5850 60 0001 C CNN + 1 1300 5850 + 1 0 0 -1 +$EndComp +$Comp +L R R1 +U 1 1 4FCA95B2 +P 1200 4000 +F 0 "R1" V 1280 4000 50 0000 C CNN +F 1 "100K" V 1200 4000 50 0000 C CNN +F 2 "SM0402" H 1200 4000 60 0001 C CNN +F 3 "" H 1200 4000 60 0001 C CNN + 1 1200 4000 + 0 -1 -1 0 +$EndComp +Text Notes 2450 4700 0 60 ~ 0 +I2C pullups +Text Label 2950 4400 0 60 ~ 0 +SDA +Text Label 2950 4000 0 60 ~ 0 +SCL +Text Label 4500 3800 2 60 ~ 0 +SDA +Text Label 4500 3700 2 60 ~ 0 +SCL +$Comp +L R R4 +U 1 1 4FCA93BD +P 2700 4400 +F 0 "R4" V 2780 4400 50 0000 C CNN +F 1 "2K7" V 2700 4400 50 0000 C CNN +F 2 "SM0402" H 2700 4400 60 0001 C CNN +F 3 "" H 2700 4400 60 0001 C CNN + 1 2700 4400 + 0 1 1 0 +$EndComp +Text Label 4500 3200 2 60 ~ 0 +DM +Text Label 4500 3100 2 60 ~ 0 +DP +NoConn ~ 7300 3200 +NoConn ~ 7300 3100 +NoConn ~ 7300 3000 +NoConn ~ 7300 2900 +NoConn ~ 7300 2800 +NoConn ~ 7300 2700 +NoConn ~ 7300 2600 +NoConn ~ 7300 4500 +NoConn ~ 7300 4600 +NoConn ~ 7300 4700 +NoConn ~ 7300 4800 +NoConn ~ 7300 4900 +NoConn ~ 7300 5000 +$Comp +L C C4 +U 1 1 4FC8F6F8 +P 1350 2900 +F 0 "C4" H 1250 3000 50 0000 L CNN +F 1 "12pF" H 1150 2800 50 0000 L CNN +F 2 "SM0402" H 1350 2900 60 0001 C CNN +F 3 "" H 1350 2900 60 0001 C CNN + 1 1350 2900 + 1 0 0 -1 +$EndComp +$Comp +L C C9 +U 1 1 4FC8F6F5 +P 2150 2900 +F 0 "C9" H 2200 3000 50 0000 L CNN +F 1 "12pF" H 2200 2800 50 0000 L CNN +F 2 "SM0402" H 2150 2900 60 0001 C CNN +F 3 "" H 2150 2900 60 0001 C CNN + 1 2150 2900 + 1 0 0 -1 +$EndComp +Text Label 2250 900 0 60 ~ 0 +VBUS +Text Label 2250 1000 0 60 ~ 0 +DM +Text Label 2250 1100 0 60 ~ 0 +DP +NoConn ~ 2050 1200 +Text Label 1150 1800 2 60 ~ 0 +SHIELD +Text Label 8700 5400 2 60 ~ 0 +VBUS +$Comp +L CY7C68013A-56 IC1 +U 1 1 4FC8F245 +P 6000 3800 +F 0 "IC1" H 6650 2300 60 0000 C CNN +F 1 "CY7C68013A-56" H 6000 3800 60 0000 C CNN +F 2 "QFN56" H 6000 3800 60 0001 C CNN +F 3 "" H 6000 3800 60 0001 C CNN + 1 6000 3800 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR6 +U 1 1 596302F6 +P 1300 6800 +F 0 "#PWR6" H 1300 6650 50 0001 C CNN +F 1 "+3.3V" H 1300 6940 50 0000 C CNN +F 2 "" H 1300 6800 50 0000 C CNN +F 3 "" H 1300 6800 50 0000 C CNN + 1 1300 6800 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR11 +U 1 1 596304F6 +P 1600 6800 +F 0 "#PWR11" H 1600 6650 50 0001 C CNN +F 1 "+3.3V" H 1600 6940 50 0000 C CNN +F 2 "" H 1600 6800 50 0000 C CNN +F 3 "" H 1600 6800 50 0000 C CNN + 1 1600 6800 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR15 +U 1 1 59630555 +P 1900 6800 +F 0 "#PWR15" H 1900 6650 50 0001 C CNN +F 1 "+3.3V" H 1900 6940 50 0000 C CNN +F 2 "" H 1900 6800 50 0000 C CNN +F 3 "" H 1900 6800 50 0000 C CNN + 1 1900 6800 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR22 +U 1 1 596305B4 +P 2200 6800 +F 0 "#PWR22" H 2200 6650 50 0001 C CNN +F 1 "+3.3V" H 2200 6940 50 0000 C CNN +F 2 "" H 2200 6800 50 0000 C CNN +F 3 "" H 2200 6800 50 0000 C CNN + 1 2200 6800 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR7 +U 1 1 59630673 +P 1300 7300 +F 0 "#PWR7" H 1300 7050 50 0001 C CNN +F 1 "GND" H 1300 7150 50 0000 C CNN +F 2 "" H 1300 7300 50 0000 C CNN +F 3 "" H 1300 7300 50 0000 C CNN + 1 1300 7300 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR12 +U 1 1 596307A3 +P 1600 7300 +F 0 "#PWR12" H 1600 7050 50 0001 C CNN +F 1 "GND" H 1600 7150 50 0000 C CNN +F 2 "" H 1600 7300 50 0000 C CNN +F 3 "" H 1600 7300 50 0000 C CNN + 1 1600 7300 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR16 +U 1 1 59630802 +P 1900 7300 +F 0 "#PWR16" H 1900 7050 50 0001 C CNN +F 1 "GND" H 1900 7150 50 0000 C CNN +F 2 "" H 1900 7300 50 0000 C CNN +F 3 "" H 1900 7300 50 0000 C CNN + 1 1900 7300 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR23 +U 1 1 59630899 +P 2200 7300 +F 0 "#PWR23" H 2200 7050 50 0001 C CNN +F 1 "GND" H 2200 7150 50 0000 C CNN +F 2 "" H 2200 7300 50 0000 C CNN +F 3 "" H 2200 7300 50 0000 C CNN + 1 2200 7300 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR5 +U 1 1 5963105D +P 1300 6100 +F 0 "#PWR5" H 1300 5850 50 0001 C CNN +F 1 "GND" H 1300 5950 50 0000 C CNN +F 2 "" H 1300 6100 50 0000 C CNN +F 3 "" H 1300 6100 50 0000 C CNN + 1 1300 6100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR10 +U 1 1 59631112 +P 1600 6100 +F 0 "#PWR10" H 1600 5850 50 0001 C CNN +F 1 "GND" H 1600 5950 50 0000 C CNN +F 2 "" H 1600 6100 50 0000 C CNN +F 3 "" H 1600 6100 50 0000 C CNN + 1 1600 6100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR14 +U 1 1 5963118D +P 1900 6100 +F 0 "#PWR14" H 1900 5850 50 0001 C CNN +F 1 "GND" H 1900 5950 50 0000 C CNN +F 2 "" H 1900 6100 50 0000 C CNN +F 3 "" H 1900 6100 50 0000 C CNN + 1 1900 6100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR21 +U 1 1 596311EC +P 2200 6100 +F 0 "#PWR21" H 2200 5850 50 0001 C CNN +F 1 "GND" H 2200 5950 50 0000 C CNN +F 2 "" H 2200 6100 50 0000 C CNN +F 3 "" H 2200 6100 50 0000 C CNN + 1 2200 6100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR27 +U 1 1 5963124B +P 2500 6100 +F 0 "#PWR27" H 2500 5850 50 0001 C CNN +F 1 "GND" H 2500 5950 50 0000 C CNN +F 2 "" H 2500 6100 50 0000 C CNN +F 3 "" H 2500 6100 50 0000 C CNN + 1 2500 6100 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR29 +U 1 1 596312AA +P 2800 6100 +F 0 "#PWR29" H 2800 5850 50 0001 C CNN +F 1 "GND" H 2800 5950 50 0000 C CNN +F 2 "" H 2800 6100 50 0000 C CNN +F 3 "" H 2800 6100 50 0000 C CNN + 1 2800 6100 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR4 +U 1 1 59631505 +P 1300 5600 +F 0 "#PWR4" H 1300 5450 50 0001 C CNN +F 1 "+3.3V" H 1300 5740 50 0000 C CNN +F 2 "" H 1300 5600 50 0000 C CNN +F 3 "" H 1300 5600 50 0000 C CNN + 1 1300 5600 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR9 +U 1 1 59631670 +P 1600 5600 +F 0 "#PWR9" H 1600 5450 50 0001 C CNN +F 1 "+3.3V" H 1600 5740 50 0000 C CNN +F 2 "" H 1600 5600 50 0000 C CNN +F 3 "" H 1600 5600 50 0000 C CNN + 1 1600 5600 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR13 +U 1 1 596316CF +P 1900 5600 +F 0 "#PWR13" H 1900 5450 50 0001 C CNN +F 1 "+3.3V" H 1900 5740 50 0000 C CNN +F 2 "" H 1900 5600 50 0000 C CNN +F 3 "" H 1900 5600 50 0000 C CNN + 1 1900 5600 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR20 +U 1 1 5963172E +P 2200 5600 +F 0 "#PWR20" H 2200 5450 50 0001 C CNN +F 1 "+3.3V" H 2200 5740 50 0000 C CNN +F 2 "" H 2200 5600 50 0000 C CNN +F 3 "" H 2200 5600 50 0000 C CNN + 1 2200 5600 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR26 +U 1 1 5963178D +P 2500 5600 +F 0 "#PWR26" H 2500 5450 50 0001 C CNN +F 1 "+3.3V" H 2500 5740 50 0000 C CNN +F 2 "" H 2500 5600 50 0000 C CNN +F 3 "" H 2500 5600 50 0000 C CNN + 1 2500 5600 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR28 +U 1 1 596317EC +P 2800 5600 +F 0 "#PWR28" H 2800 5450 50 0001 C CNN +F 1 "+3.3V" H 2800 5740 50 0000 C CNN +F 2 "" H 2800 5600 50 0000 C CNN +F 3 "" H 2800 5600 50 0000 C CNN + 1 2800 5600 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR3 +U 1 1 59631E88 +P 950 5250 +F 0 "#PWR3" H 950 5000 50 0001 C CNN +F 1 "GND" H 950 5100 50 0000 C CNN +F 2 "" H 950 5250 50 0000 C CNN +F 3 "" H 950 5250 50 0000 C CNN + 1 950 5250 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR2 +U 1 1 59631F04 +P 950 4650 +F 0 "#PWR2" H 950 4500 50 0001 C CNN +F 1 "+3.3V" H 950 4790 50 0000 C CNN +F 2 "" H 950 4650 50 0000 C CNN +F 3 "" H 950 4650 50 0000 C CNN + 1 950 4650 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR1 +U 1 1 5963220C +P 950 3900 +F 0 "#PWR1" H 950 3750 50 0001 C CNN +F 1 "+3.3V" H 950 4040 50 0000 C CNN +F 2 "" H 950 3900 50 0000 C CNN +F 3 "" H 950 3900 50 0000 C CNN + 1 950 3900 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR24 +U 1 1 596322DD +P 2450 3900 +F 0 "#PWR24" H 2450 3750 50 0001 C CNN +F 1 "+3.3V" H 2450 4040 50 0000 C CNN +F 2 "" H 2450 3900 50 0000 C CNN +F 3 "" H 2450 3900 50 0000 C CNN + 1 2450 3900 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR25 +U 1 1 5963233C +P 2450 4300 +F 0 "#PWR25" H 2450 4150 50 0001 C CNN +F 1 "+3.3V" H 2450 4440 50 0000 C CNN +F 2 "" H 2450 4300 50 0000 C CNN +F 3 "" H 2450 4300 50 0000 C CNN + 1 2450 4300 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR30 +U 1 1 596323D4 +P 4500 4900 +F 0 "#PWR30" H 4500 4650 50 0001 C CNN +F 1 "GND" H 4500 4750 50 0000 C CNN +F 2 "" H 4500 4900 50 0000 C CNN +F 3 "" H 4500 4900 50 0000 C CNN + 1 4500 4900 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR19 +U 1 1 59633244 +P 2150 3150 +F 0 "#PWR19" H 2150 2900 50 0001 C CNN +F 1 "GND" H 2150 3000 50 0000 C CNN +F 2 "" H 2150 3150 50 0000 C CNN +F 3 "" H 2150 3150 50 0000 C CNN + 1 2150 3150 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR8 +U 1 1 596332A3 +P 1350 3150 +F 0 "#PWR8" H 1350 2900 50 0001 C CNN +F 1 "GND" H 1350 3000 50 0000 C CNN +F 2 "" H 1350 3150 50 0000 C CNN +F 3 "" H 1350 3150 50 0000 C CNN + 1 1350 3150 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR18 +U 1 1 59633E86 +P 2150 1900 +F 0 "#PWR18" H 2150 1650 50 0001 C CNN +F 1 "GND" H 2150 1750 50 0000 C CNN +F 2 "" H 2150 1900 50 0000 C CNN +F 3 "" H 2150 1900 50 0000 C CNN + 1 2150 1900 + 1 0 0 -1 +$EndComp +$Comp +L +5V #PWR17 +U 1 1 5963439C +P 2150 800 +F 0 "#PWR17" H 2150 650 50 0001 C CNN +F 1 "+5V" H 2150 940 50 0000 C CNN +F 2 "" H 2150 800 50 0000 C CNN +F 3 "" H 2150 800 50 0000 C CNN + 1 2150 800 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR33 +U 1 1 59635EE4 +P 5900 5500 +F 0 "#PWR33" H 5900 5250 50 0001 C CNN +F 1 "GND" H 5900 5350 50 0000 C CNN +F 2 "" H 5900 5500 50 0000 C CNN +F 3 "" H 5900 5500 50 0000 C CNN + 1 5900 5500 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR31 +U 1 1 59636270 +P 4550 6300 +F 0 "#PWR31" H 4550 6050 50 0001 C CNN +F 1 "GND" H 4550 6150 50 0000 C CNN +F 2 "" H 4550 6300 50 0000 C CNN +F 3 "" H 4550 6300 50 0000 C CNN + 1 4550 6300 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR40 +U 1 1 5963B0F1 +P 10550 5300 +F 0 "#PWR40" H 10550 5150 50 0001 C CNN +F 1 "+3.3V" H 10550 5440 50 0000 C CNN +F 2 "" H 10550 5300 50 0000 C CNN +F 3 "" H 10550 5300 50 0000 C CNN + 1 10550 5300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9600 3050 9600 2950 +Wire Wire Line + 7300 2500 7400 2500 +Wire Wire Line + 9600 2950 9500 2950 +Wire Wire Line + 9500 2750 9600 2750 +Wire Wire Line + 9500 2550 9600 2550 +Wire Wire Line + 8650 2850 8550 2850 +Wire Wire Line + 8650 2650 8550 2650 +Wire Wire Line + 10100 1300 10100 1400 +Connection ~ 1350 1800 +Wire Wire Line + 1350 1700 1350 1800 +Wire Wire Line + 10150 5400 10550 5400 +Wire Wire Line + 10250 5550 10150 5550 +Wire Wire Line + 4600 4400 4500 4400 +Wire Wire Line + 1350 2500 1350 2750 +Wire Wire Line + 4600 2800 4500 2800 +Wire Wire Line + 2150 2500 2150 2750 +Wire Wire Line + 4500 3200 4600 3200 +Wire Wire Line + 7300 4100 7400 4100 +Wire Wire Line + 7300 3900 7400 3900 +Wire Wire Line + 7300 3700 7400 3700 +Wire Wire Line + 7300 3500 7400 3500 +Wire Wire Line + 4600 3800 4500 3800 +Wire Wire Line + 5900 5500 5900 5300 +Connection ~ 5700 5400 +Wire Wire Line + 5700 5300 5700 5400 +Connection ~ 5900 5400 +Connection ~ 6200 5400 +Wire Wire Line + 6200 5300 6200 5400 +Wire Wire Line + 5900 1900 5900 2100 +Connection ~ 5700 2000 +Wire Wire Line + 5700 2100 5700 2000 +Connection ~ 5900 2000 +Connection ~ 6200 2000 +Wire Wire Line + 6200 2100 6200 2000 +Wire Wire Line + 2250 1100 2050 1100 +Wire Wire Line + 2250 900 2050 900 +Connection ~ 2150 1800 +Wire Wire Line + 2150 1900 2150 1300 +Wire Wire Line + 2150 1300 2050 1300 +Wire Wire Line + 1150 1700 1150 1800 +Wire Wire Line + 9550 5950 9550 6050 +Connection ~ 8800 5400 +Connection ~ 10550 5400 +Wire Wire Line + 2250 1000 2050 1000 +Wire Wire Line + 2150 900 2150 800 +Connection ~ 2150 900 +Wire Wire Line + 5500 2100 5500 2000 +Wire Wire Line + 5500 2000 6300 2000 +Wire Wire Line + 6300 2000 6300 2100 +Wire Wire Line + 6000 2100 6000 2000 +Connection ~ 6000 2000 +Wire Wire Line + 5800 2100 5800 2000 +Connection ~ 5800 2000 +Wire Wire Line + 5600 2100 5600 2000 +Connection ~ 5600 2000 +Wire Wire Line + 5500 5300 5500 5400 +Wire Wire Line + 5500 5400 6300 5400 +Wire Wire Line + 6300 5400 6300 5300 +Wire Wire Line + 6000 5300 6000 5400 +Connection ~ 6000 5400 +Wire Wire Line + 5800 5300 5800 5400 +Connection ~ 5800 5400 +Wire Wire Line + 5600 5300 5600 5400 +Connection ~ 5600 5400 +Wire Wire Line + 4600 3700 4500 3700 +Wire Wire Line + 4600 4800 4500 4800 +Wire Wire Line + 4500 4800 4500 4900 +Wire Wire Line + 7300 3400 7400 3400 +Wire Wire Line + 7300 3600 7400 3600 +Wire Wire Line + 7300 3800 7400 3800 +Wire Wire Line + 7300 4000 7400 4000 +Wire Wire Line + 4600 3100 4500 3100 +Wire Wire Line + 4600 2900 4500 2900 +Wire Wire Line + 4600 4600 4500 4600 +Wire Wire Line + 9000 5550 8900 5550 +Wire Wire Line + 8900 5550 8900 5400 +Connection ~ 8900 5400 +Wire Wire Line + 8700 5400 9000 5400 +Wire Wire Line + 1450 1700 1450 1800 +Connection ~ 1450 1800 +Wire Wire Line + 1250 1700 1250 1800 +Connection ~ 1250 1800 +Wire Wire Line + 8650 2550 8550 2550 +Wire Wire Line + 8650 2750 8550 2750 +Wire Wire Line + 8650 2950 8550 2950 +Wire Wire Line + 9500 2650 9600 2650 +Wire Wire Line + 9500 2850 9600 2850 +Wire Wire Line + 1150 1800 2150 1800 +Wire Wire Line + 8550 2950 8550 3050 +Wire Wire Line + 1300 5600 1300 5700 +Wire Wire Line + 1600 5600 1600 5700 +Wire Wire Line + 1900 5600 1900 5700 +Wire Wire Line + 2200 5600 2200 5700 +Wire Wire Line + 2500 5600 2500 5700 +Wire Wire Line + 1300 6000 1300 6100 +Wire Wire Line + 1600 6000 1600 6100 +Wire Wire Line + 1900 6000 1900 6100 +Wire Wire Line + 2200 6000 2200 6100 +Wire Wire Line + 2500 6000 2500 6100 +Wire Wire Line + 2800 5600 2800 5700 +Wire Wire Line + 2800 6000 2800 6100 +Wire Wire Line + 1300 6800 1300 6900 +Wire Wire Line + 1600 6800 1600 6900 +Wire Wire Line + 1900 6800 1900 6900 +Wire Wire Line + 2200 6800 2200 6900 +Wire Wire Line + 2200 7200 2200 7300 +Wire Wire Line + 1900 7200 1900 7300 +Wire Wire Line + 1600 7200 1600 7300 +Wire Wire Line + 1300 7200 1300 7300 +Wire Wire Line + 1350 3050 1350 3150 +Wire Wire Line + 2150 3050 2150 3150 +Wire Wire Line + 1600 2650 1350 2650 +Connection ~ 1350 2650 +Wire Wire Line + 2150 2650 1900 2650 +Connection ~ 2150 2650 +Wire Wire Line + 1050 4000 950 4000 +Wire Wire Line + 950 4000 950 3900 +Wire Wire Line + 1350 4000 1450 4000 +Wire Wire Line + 1350 4750 1450 4750 +Wire Wire Line + 1050 4750 950 4750 +Wire Wire Line + 950 4650 950 4850 +Connection ~ 950 4750 +Wire Wire Line + 950 5250 950 5150 +Wire Wire Line + 2550 4400 2450 4400 +Wire Wire Line + 2450 4400 2450 4300 +Wire Wire Line + 2850 4400 2950 4400 +Wire Wire Line + 2550 4000 2450 4000 +Wire Wire Line + 2450 4000 2450 3900 +Wire Wire Line + 2850 4000 2950 4000 +Wire Wire Line + 5350 6200 5450 6200 +Wire Wire Line + 5050 6200 4950 6200 +Wire Wire Line + 4650 6200 4550 6200 +Wire Wire Line + 4550 6200 4550 6300 +Wire Wire Line + 10250 5550 10250 5650 +Wire Wire Line + 10250 5950 10250 6050 +Wire Wire Line + 10550 5650 10550 5300 +Wire Wire Line + 10550 5950 10550 6050 +$Comp +L GND #PWR41 +U 1 1 5962E127 +P 10550 6050 +F 0 "#PWR41" H 10550 5800 50 0001 C CNN +F 1 "GND" H 10550 5900 50 0000 C CNN +F 2 "" H 10550 6050 50 0000 C CNN +F 3 "" H 10550 6050 50 0000 C CNN + 1 10550 6050 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR39 +U 1 1 5962E1AA +P 10250 6050 +F 0 "#PWR39" H 10250 5800 50 0001 C CNN +F 1 "GND" H 10250 5900 50 0000 C CNN +F 2 "" H 10250 6050 50 0000 C CNN +F 3 "" H 10250 6050 50 0000 C CNN + 1 10250 6050 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR36 +U 1 1 5962F418 +P 9550 6050 +F 0 "#PWR36" H 9550 5800 50 0001 C CNN +F 1 "GND" H 9550 5900 50 0000 C CNN +F 2 "" H 9550 6050 50 0000 C CNN +F 3 "" H 9550 6050 50 0000 C CNN + 1 9550 6050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8800 5650 8800 5400 +Wire Wire Line + 8800 5950 8800 6050 +$Comp +L GND #PWR35 +U 1 1 5962F669 +P 8800 6050 +F 0 "#PWR35" H 8800 5800 50 0001 C CNN +F 1 "GND" H 8800 5900 50 0000 C CNN +F 2 "" H 8800 6050 50 0000 C CNN +F 3 "" H 8800 6050 50 0000 C CNN + 1 8800 6050 + 1 0 0 -1 +$EndComp +$Comp +L +3.3V #PWR32 +U 1 1 59631492 +P 5900 1900 +F 0 "#PWR32" H 5900 1750 50 0001 C CNN +F 1 "+3.3V" H 5900 2040 50 0000 C CNN +F 2 "" H 5900 1900 50 0000 C CNN +F 3 "" H 5900 1900 50 0000 C CNN + 1 5900 1900 + 1 0 0 -1 +$EndComp +$Comp +L CONN_01X05 P1 +U 1 1 596377A2 +P 8850 2750 +F 0 "P1" H 8850 3050 50 0000 C CNN +F 1 "CONN_01X05" V 8950 2750 50 0000 C CNN +F 2 "CONN-5x1-SMD-127MM" H 8850 2750 50 0001 C CNN +F 3 "" H 8850 2750 50 0000 C CNN + 1 8850 2750 + 1 0 0 -1 +$EndComp +$Comp +L CONN_01X05 P2 +U 1 1 59637927 +P 9300 2750 +F 0 "P2" H 9300 3050 50 0000 C CNN +F 1 "CONN_01X05" V 9400 2750 50 0000 C CNN +F 2 "CONN-5x1-SMD-127MM" H 9300 2750 50 0001 C CNN +F 3 "" H 9300 2750 50 0000 C CNN + 1 9300 2750 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR37 +U 1 1 5963AEBB +P 9600 3050 +F 0 "#PWR37" H 9600 2800 50 0001 C CNN +F 1 "GND" H 9600 2900 50 0000 C CNN +F 2 "" H 9600 3050 50 0000 C CNN +F 3 "" H 9600 3050 50 0000 C CNN + 1 9600 3050 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR34 +U 1 1 5963AF21 +P 8550 3050 +F 0 "#PWR34" H 8550 2800 50 0001 C CNN +F 1 "GND" H 8550 2900 50 0000 C CNN +F 2 "" H 8550 3050 50 0000 C CNN +F 3 "" H 8550 3050 50 0000 C CNN + 1 8550 3050 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR38 +U 1 1 5962BAD9 +P 10100 1400 +F 0 "#PWR38" H 10100 1150 50 0001 C CNN +F 1 "GND" H 10100 1250 50 0000 C CNN +F 2 "" H 10100 1400 50 0000 C CNN +F 3 "" H 10100 1400 50 0000 C CNN + 1 10100 1400 + 1 0 0 -1 +$EndComp +$Comp +L Crystal_GND24 Y1 +U 1 1 5962A5CC +P 1750 2650 +F 0 "Y1" H 1600 3050 50 0000 L CNN +F 1 "24MHz" H 1450 2950 50 0000 L CNN +F 2 "ABM11" H 1750 2650 50 0001 C CNN +F 3 "" H 1750 2650 50 0000 C CNN + 1 1750 2650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1750 2450 1750 2200 +Wire Wire Line + 1750 2200 1950 2200 +Wire Wire Line + 1950 2200 1950 2300 +$Comp +L GND #PWR? +U 1 1 5962AF70 +P 1950 2300 +F 0 "#PWR?" H 1950 2050 50 0001 C CNN +F 1 "GND" H 1950 2150 50 0000 C CNN +F 2 "" H 1950 2300 50 0000 C CNN +F 3 "" H 1950 2300 50 0000 C CNN + 1 1950 2300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1750 2850 1750 2950 +$Comp +L GND #PWR? +U 1 1 5962B0E3 +P 1750 2950 +F 0 "#PWR?" H 1750 2700 50 0001 C CNN +F 1 "GND" H 1750 2800 50 0000 C CNN +F 2 "" H 1750 2950 50 0000 C CNN +F 3 "" H 1750 2950 50 0000 C CNN + 1 1750 2950 + 1 0 0 -1 +$EndComp +$EndSCHEMATC