Bug 166 - Feeding data from binary file into decode doesn't work
Summary: Feeding data from binary file into decode doesn't work
Status: RESOLVED FIXED
Alias: None
Product: sigrok-cli
Classification: Unclassified
Component: Acquisition (show other bugs)
Version: unreleased development snapshot
Hardware: All All
: Normal normal
Target Milestone: ---
Assignee: Nobody
URL:
Keywords:
Depends on:
Blocks:
 
Reported: 2013-10-11 00:04 CEST by Joel Holdsworth
Modified: 2013-12-27 19:39 CET (History)
1 user (show)



Attachments

Note You need to log in before you can comment on or make changes to this bug.
Description Joel Holdsworth 2013-10-11 00:04:08 CEST
If I run the following command with any input file, sigrok-cli fails:

$ sigrok-cli -I binary -i dump.bin -P spi:mosi=0:sck=1
Unable to initialize protocol decoders: no samplerate found.
Floating point exception

This...
$ sigrok-cli -I binary:samplerate=1000 -i dump.bin -P spi:mosi=0:sck=1
...and this...
$ sigrok-cli -I binary -i dump.bin -P spi:mosi=0:sck=1 --config samplerate=100
...both fail in the exact same way.
Comment 1 Uwe Hermann 2013-12-27 19:39:07 CET
This has been fixed in the mean time (in libsigrokdecode most likely). It works fine with "-I binary:samplerate=1000" for example for PDs which need a samplerate, but the ":samplerate=1000" can also be omitted completely for PDs which don't need a samplerate.